A Brief History Of Power Formats

The frantic rivalry over power formats has finally settled down. A look at why it happened and where we are now.

popularity

Barry Pangrle
A lot has happened in the industry in the way of power format standards over the past seven years. I’m going to attempt to hit on some of the highlights over that time period, especially with regards to the “Big 3” EDA vendors to hopefully put it all into better context for our readers.

Early on, circa 2005, Mentor Graphics was working on a power format referred to as the “Power Configuration File” format or PCF. Around this time there was also a small startup company called ArchPro that was working on its own format for specifying power domains (more on ArchPro later). Both companies were working with customers to develop and deploy their formats when in May 2006 Cadence announced a bold new initiative called “Power Forward.” What it lacked in implementation at that time it more than made up for with a strong message that power was important.

In September of that same year, Mentor Graphics donated PCF to Accellera and Synopsys also donated some power technology, mainly SAIF, to Accellera. The race was on. More information about Accellera’s announced “Unified Power Format” (UPF) Technical Subcommittee effort is available here. It looked as if, yet again, the industry would be dealing with two competing standards with the same overall intent. There was a helpful push from TI and Nokia at DAC 2006, as well.

The jockeying continued with Accellera, chaired by Steve Bailey of Mentor Graphics, releasing UPF 1.0 as a standard in February 2007. This was quickly followed by a formal release of the “Common Power Format” (CPF), Si2’s CPF 1.0, in March of that year. Two significant events happened in May and June of 2007. The first was the donation of UPF 1.0 by Accellera to the IEEE, and the second was Synopsys’ realization that it had fallen behind the other two major players in terms of power aware verification capabilities. Synopsys acquired ArchPro to pick up ArchPro’s MVSim and MVRC products to try to fill that gap.

The frantic pace of the first couple of years settled down slightly as vendors and semiconductor companies then feverishly worked to provide support in their tools and flows for the new standards. Si2 released CPF 1.1 in September of 2008 and then the IEEE released Standard 1801-2009 (also known as UPF 2.0), in March of 2009 with Steve Bailey of Mentor Graphics chairing the P1801 Working Group.

An interesting dynamic was starting to occur by now, though. Because of the vendors that backed the different standards, CPF initially found more traction in the back-end of the RTL to GDSII process, whereas UPF was more prevalent in the front-end RTL. As more designers began to realize that in order to get more power-efficient designs, power needs to be considered as early as possible in the design process, this led to more designs starting in UPF. Too often this led to UPF-based designs running into back-end tools that only supported CPF, however, creating a major headache for both the design and verification teams. Pressure was building in the industry to have one de facto standard.

In March of 2011 Si2 released CPF 2.0 and then peace broke out and Si2 contributed key methodologies of CPF 2.0 to the IEEE. At this point, there is only one power format standard that all 3 major EDA vendors are actively involved in supporting and that is the IEEE Standard 1801, also known as UPF.

barrygraphic1

–Barry Pangrle is a solutions architect for low-power design and verification at Mentor Graphics.



Leave a Reply


(Note: This name will be displayed publicly)