Challenges Mount For EUV Masks

Increased cost, rising uncertainty, problems with defects and inspect are raising questions about what happens even if EUV does become viable.

popularity

Five years ago, Intel urged the industry to invest millions of dollars in the photomask infrastructure to help enable extreme ultraviolet (EUV) lithography. At the time, there were noticeable gaps in EUV, namely defect-free masks and inspection tools.

To date, however, Intel’s call to action has produced mixed results. The photomask industry is making progress on some fronts, such as EUV masks, actinic mask blank inspection and deposition. But there are still some large and troubling gaps in the arena, namely EUV mask inspection, pellicles and post-pellicle inspection. In fact, the oft-delayed power source is still the number one issue for EUV, but the lowly pellicle could become the Achilles heel for the technology.

And in a related issue, ASML Holding is floating the idea of developing an EUV scanner based on a next-generation anamorphic lens technology. The lens technology would support high numerical aperture (NA) EUV, without forcing the industry to move to a new and costly photomask size.

In any case, the industry is still wrestling with several issues in EUV. As a result, EUV remains delayed and continues to miss the market window. “The stability and maturity of EUV has definitely improved,” said Naoya Hayashi, research fellow at Dai Nippon Printing (DNP). “Still, the (current EUV results from ASML) are very preliminary. Even if they can deliver more than 500 wafers per day, it’s still only a data point. The question is can they obtain the real process stability the industry needs.”

What is an anamorphic lens?
Last year, ASML shipped its first production-worthy EUV lithography scanner. The 13.5nm wavelength tool, dubbed the NXE:3300B, has an NA of 0.33 and a resolution of 22nm half-pitch. Today, there are six NXE:3300B systems at customer sites.

For now, chipmakers are targeting EUV for 7nm. But to extend EUV beyond 7nm, the technology may require high NA techniques. Current EUV scanners support today’s 6-inch photomasks. A high NA lens may improve EUV resolution, but it also may require the photomask industry to move to a new and larger 9-inch mask size.

In other words, photomask tool makers must develop new 9-inch equipment. But the mask equipment industry lacks the resources to move to a new mask size. “The industry sees why it would be good to have 9-inch masks, but it also can’t see how it can get funded,” said Aki Fujimura, chairman and chief executive of D2S.

To get around the problem, ASML is developing an anamorphic lens for EUV. By definition, an anamorphic lens produces unequal magnifications along two axes perpendicular to each other. In EUV, the anamorphic lens would support 0.5 to 0.6 NAs. The two-axis lens would support 8x magnification in the scan mode and 4x in the other direction. With the technology, the photomask industry could still make use of today’s 6-inch masks, said Martin van den Brink, president and chief technology officer at ASML.

But by going with an anamorphic lens, the EUV scanner could take a throughput hit. It would expose the wafer at only half the field size, as opposed to full field sizes with today’s EUV scanners. “You can solve the right angle resolution kinds of things in the NA,” DNP’s Hayashi said. “But we also have to cut the field in four masks or two masks. That’s very challenging in terms of overlay and throughput.”

The real issue is to get the current EUV system working first, and then worry about the next-generation tool. “It’s good to think ahead. But it’s also critical that we reach a milestone of 80 Watts at customer sites,” said Pawitter Mangat, senior manager and deputy director for EUV lithography at GlobalFoundries. Mangat was referring to the power required to bring today’s EUV scanners into production.

EUV mask flow
Another key part of EUV is the mask infrastructure. EUV masks must be defect-free, but the industry is still struggling to solve the defect issues with the technology. EUV masks are different than traditional photomasks. Today’s optical masks consist of an opaque layer of chrome on a glass substrate. In contrast, the EUV mask consists of 40 to 50 alternating layers of silicon and molybdenum on a glass substrate. The multi-layer stack serves as a mirror for EUV light.

In the EUV mask process flow, a vendor first produces a substrate, which produces its own set of problems. After a substrate is developed, the product is riddled with unwanted defects, namely pits and bumps. The industry is working on substrate cleaning and polishing tools to solve the issues, although these technologies remain immature.

Then, the next step in the flow is to inspect the EUV mask blank for defects using tools from Lasertec. Lasertec’s most advanced mask blank inspection tool is based on a 355nm laser light source. But optical-based mask blank inspection may lack the ability to catch all defects. To solve this problem, Lasertec is developing an actinic-based mask blank inspection tool. Actinic-based inspection uses EUV wavelengths of 13.5nm as a means to capture more defects. Lasertec is expected to ship the tool in late 2015.

After mask blank inspection, the substrate undergoes a deposition process. Veeco’s ion beam deposition tool deposits alternating layers of silicon and molybdenum on the substrate. The problem is that unwanted particles tend to land on the mask during this process.

Veeco, however, has recently made a breakthrough with its deposition tool. “The (new) results show the first-ever, defect-free mask blanks at the 50nm and above defect size,” said Tim Pratt, senior director of marketing at Veeco. “(This) supports EUV pilot line manufacturing requirements.”

Then, the substrate undergoes another mask blank inspection step. “Inspection is done before and after multi-layer deposition,” Pratt said. “The before and after results are then analyzed to determine the defect adders. Further analysis may be performed to segregate the defects, which were introduced during the deposition process versus those that existed on the substrate before deposition.”

At that point, the multi-layer substrate is sent to the photomask shop. But the substrate will still consist of defects. Mask makers have found a way to work around the problem. Defects are placed under the absorber.

Then, in the flow, the e-beam writes the pattern on the multi-layer substrate. “With EUV, you need various types of corrections on the EUV pattern,” said Elmar Platzgummer, chief executive of IMS Nanofabrication, a supplier of multi-beam e-beam tools for mask writing applications. “But mask writing is not the big problem with EUV.”

In fact, there is still a big gap in the next part of the flow—EUV mask inspection. In 2009, Intel wanted the industry to develop an actinic-based mask inspection tool for EUV masks. Now, the industry is backing away from actinic mask inspection. “Theoretically, we need actinic inspection,” said DNP’s Hayashi. “But most in the industry do not want to use an actinic inspection system. Actinic inspection will add a huge cost. The tool cost alone is quite high. Actinic inspection needs an EUV source. The EUV source is still weak and not stable. We also need a vacuum for an inspection system.”

For now, the industry could make do with current optical tools to inspect EUV masks. But at some point, optical-based inspection may run out of gas, prompting the need for a new tool solution. The possible candidates include e-beam, multi-beam e-beam, and projection e-beam. “DUV optical inspection is maybe the solution,” Hayashi said. “Electron-beam is too slow. Another candidate is multi-column e-beam, but that’s a long way off from moving into production.”

On a positive note, Zeiss has made progress in another part of the inspection process. In 2015, the company is expected to ship its actinic aerial image metrology system, dubbed AIMS. The AIMS tool is a defect review system.

EUV’s Achilles heel
The next big hurdle is the pellicle. Today’s optical photomasks have a pellicle, which is a thin-film membrane that acts as a dust cover for the mask itself. At one time, the industry insisted that EUV did not require a pellicle, but chipmakers now believe the pellicle is essential. Without a pellicle, the EUV reticle is prone to particles and defects.

ASML is developing an EUV pellicle. In the lab, the company has developed a full-size, polysilicon-based pellicle. Measuring 103mm x 122mm, the pellicle has a transmission rate of 85%.

ASML doesn’t want to remain in the pellicle business in the long term. It is looking for partners to commercialize the technology. Another issue is that some chipmakers also want a post-pellicle inspection tool, which is non-existent today and would require funding.

ASML’s van den Brink has a different viewpoint. “The industry could consider skipping post-pellicle inspection,” he said. “You can kill the phase defects by fundamentally inspecting the blanks.”

Still, the debate is far from over regarding post-pellicle inspection. The same goes for mask inspection and other technologies. But this time around, the industry may not have millions of dollars to throw at the problem.



2 comments

Rockwriter says:

A pellicle of polysilicon will run at 900 degrees Centigrade if the resist sensitivity is 16 mJ/Sq.cm. Slower resist makes it hotter. Does anyone know what happens to 50nm thick poly films when dust falls on them at that temperature? Can you bet an industry on that?

hmmm says:

Post pellicle inspection should be easy. Inspecting large particles on a flat surface should be straight forward. perhap the author has little knowledge on the pellicle and its in-situ inspection in the scanner.

Leave a Reply


(Note: This name will be displayed publicly)