Counting And Controlling DSA Defects

It’s not clear yet whether high defect rates are an obstacle or relatively normal for a new process technology.

popularity

If directed self-assembly is to succeed in semiconductor manufacturing, DSA processes must achieve defect rates in line with the stringent requirements of sub-20nm device nodes. So far, they haven’t. However, it’s not yet clear whether the high defect rates represent a real obstacle, or are simply part of the development of any new, immature process technology.

To properly evaluate the situation, manufacturers need to understand the root causes of the defects. DSA works, briefly, by exploiting the differing surface energies of the components of a block co-polymer (BCP) such as PS-b-PMMA (poly(styrene-block-methyl methacrylate)). The two components are mutually repulsive, and in the absence of a defined surface pattern will form a so-called “fingerprint” morphology, with alternating domains of polymer A and polymer B forming curved patterns over the coated surface. Pre-patterns, formed either by chemical stripes on the surface (chemoepitaxy) or physical trenches (graphoepitaxy), are used to define the polymer structure. By providing a favorable surface for either polymer A or polymer B, the pre-pattern constrains the BCP material to form lines and spaces, contact holes, or whatever features might be desired. Defects can result from defects or variations in the pre-pattern, in the BCP material, or in the segregation step. Of these, segregation defects are unique to DSA, while the others are familiar from conventional lithography processes.

Can you control what you can’t see?
Manufacturers must also confirm that available metrology tools are able to capture the majority of actual yield-reducing defects, while avoiding “nuisance” defects with no real yield impact. Metrology and inspection are complicated by the nature of the DSA process. In conventional resist processing, the end result is a photoresist pattern with negative space. That is, photoresist is present in the areas to be protected, and absent in the features being transferred to the wafer. In DSA patterning, in contrast, the BCP anneal produces a pattern in which polymer A fills the areas to be protected, and polymer B fills the areas to be transferred to the wafer. In most cases polymer A and polymer B have similar characteristics, offering little or no contrast for most types of imaging. However, as KLA-Tencor’s Venkat Nagaswami explained, reasonable sensitivity can be achieved once one polymer (typically PMMA, in the PS-b-PMMA system) is removed.

Scanning electron microscopy, a staple of conventional lithography defect analysis, not only can cause charging and shrinkage in many polymer materials, but also generally only images electrons scattered from the surface. Thus, many DSA-related defects are difficult to identify by SEM review. In particular, buried defects can occur if polymer A “bridges” under or “skins” over a polymer B region. Bridge defects can happen if the “background” surface, which should be neutral, develops an affinity for one of the co-polymers because of contamination or process damage. Similarly, skinning occurs if a large mismatch in energy between the two co-polymers drives one toward the film’s free surface.

Research into the most effective metrology approaches for DSA is ongoing. Preliminary efforts have shown that model-less ellipsometry can offer a fast assessment of polymer segregation, at least in line/space arrays where the desired pattern defines a grating. Careful optimization of optical inspection recipes, supported by modeling of the expected pattern, helps to maximize the defect capture rate. In research and development settings, etching can be used to transfer the block co-polymer pattern to the underlying substrate. This technique substantially enhances defect sensitivity and simplifies SEM review, but also eliminates the opportunity for rework of defective wafers. Its use in production is therefore problematic.

Nagaswami pointed out that not just DSA, but all advanced patterning approaches present new metrology challenges due to the extremely small features involved. KLA-Tencor is enhancing the company’s broadband plasma optical inspection systems with improved deep ultraviolet light sources and apertures, combined with more sophisticated tools for identifying critical areas of the wafer.

Lots of particles is actually good news
As for the defects themselves, the vast majority of those seen so far can be attributed to particles and other contaminants. Improved filtration by materials manufacturers, for example, seems to largely eliminate gel particles, while point-of-use filtration helps eliminate other particle sources. So-called “white spot” defects originate in incomplete wetting of the substrate by the “brush” material used to define pattern features in chemoepitaxy approaches to DSA. Some of these defects heal themselves during BCP segregation. If the spot is small enough, the remaining brush material will be adequate to define the polymer domain. If the white spot is too large, however, unconstrained “fingerprint” patterns will develop as the BCP is unable to maintain contact with the underlying pre-pattern. Similar behavior can occur at the edges of a test pattern or, in real designs, in large open areas. It is not enough to leave open areas “blank:” the pre-pattern must define how the BCP should behave in empty areas, too.

The next group of important defects derives from variability in the underlying pre-pattern process. While the pre-pattern features should not be unduly demanding for the lithography process — the whole point of DSA being to reduce pressure on the lithography step, after all — the periodic nature of BCP segregation means that there are “forbidden” dimensions where the pre-pattern is too large or too small to accommodate the desired number of BCP domains. Careful co-optimization of the lithography process, the pre-pattern, and the BCP material will be needed to avoid these issues. As discussed earlier, fast, accurate models are essential.

Most problematic for the ultimate success of DSA are segregation defects, where the self-assembly process yields incorrect structures. These might arise from variations in such material properties as the molecular weight of the component polymers or the surface characteristics of the pre-pattern; from thermal variations in the segregation anneal; or simply from the inherently stochastic nature of diffusion processes. Increasing the driving force for segregation (Χ) is likely to reduce the number of defects at equilibrium. It may also be possible to design materials where Χ varies with temperature, allowing the process to anneal out defects through strong segregation at higher temperatures.

Unfortunately, as previously discussed, increasing Χ introduces additional problems, most notably “skinning” of one co-polymer over the other. These may ultimately require a change to the BCP system being used.

Lots of defects, but no show-stoppers
As DSA processes are still fairly immature, firm conclusions are difficult. It appears, though, that the most common problems, such as particles and spot defects, are probably due to immature processes and materials and will become less frequent over time. While DSA-specific defects do exist, they are rare and are unlikely to pose serious obstacles to adoption of this technology. At least in the short term. Scalability of DSA processes to still smaller feature sizes remains an open question. If smaller features require new material systems, these questions may have to be revisited for each process node.



1 comments

Andres Torres says:

Very accurate article. I would add that when discussing DSA, we should focus in the application. Grapho-epitaxy for holes and Fins are two of the applications that are more likely to succeed. A lot of the current research has been trying to adapt DSA to existing design rules which did not take into account the natural period of the available polymers, this translates to more defects than one would expect if design rules were fine-tuned for DSA.

Every patterning technology must first prove that can deliver the required resolution, at a lower cost per transistor. While Multi-patterning is the plan or record for many sub 20nm nodes, DSA has the potential to reduce the number of patterning steps (and therefore patterning cost) when exploiting the frequency multiplication of DSA.

There is quite a bit of work still needed to make it work, but the cost advantage is clear, and many people are working in the materials and flows to make it happen.

Leave a Reply


(Note: This name will be displayed publicly)