Design For IoT

Will the Internet of Things drive development of new power-aware design techniques? You can bet on it.

popularity

In our already mind-bogglingly connected world where you can control your BMW with a Samsung smartwatch or monitor and pay your parking meter with a credit card on your smartphone, the semiconductor design community is mulling over the opportunities from design kits to embedded software as far as the best ways to equip design teams for the (IoT) era.

Before defining the new design techniques that IoT will drive, though, what will enable success in this market will be less about timing and placement optimization, and more about the factors that will enable wider consumer adoption of the end products that will constitute the IoT ecosystem.

“The top consideration will be power since these edge devices will be running on ultra-small power supplies or maybe even off energy harvesting,” said Aveek Sarkar, vice president of engineering and product support at ANSYS/Apache. “The second consideration is going to be cost. These designs are going to be small, based on older technology nodes and with a mix of analog and digital components. Traditionally these designs never looked at power since the numbers were small to begin with. But once the knowledge and flows that have been adopted successfully by mobile and computing teams are adopted, considerable savings can be achieved.”

In IoT applications, the techniques that have already been tried and tested successfully by mobile and computing engineering teams to eliminate wasted power especially during idle power, identify power bugs and reduce standby power will be used. Sarkar expects power-analysis driven prioritized reduction techniques will guide the RTL designer to focus on the top changes needed to exceed the power budget constraint, where architectural changes such as clock gating, power gates, voltage islands, and power-gated RAMs will be used to get more control on the power.

However, he predicts that unlike mobile/CPU teams, the devices that will go into IoT platforms will have significant analog presence, as well, measured as a percentage of the chip. “For a 2W mobile SoC, the analog power portion may not be significant. But for a 7μW part, that becomes considerable. So low power optimization of the analog portions of the chip (sensors, radio, etc.) will become important.”

Further, possible use of on-chip regulators to better control the power will become important. Analog IPs with power gate controls for leakage current will become the norm. Power-aware analog design styles will be increasingly adopted, such as managing the size of the transistors carefully to control leakage, use of adaptive biasing, overall design simplification with power as the design driver. Similarly, cost optimization will require looking across the chain to chip, package and board, rather than just the traditional chip area focus.

Mary Ann White, director of product marketing for the Galaxy Design Platform at Synopsys, observed there are many facets of IoT — whether it’s automotive or in the home or wearables — and there are a lot of different ways of looking at it. “Will it drive new power techniques? Absolutely it will. It already has.”

Case in point: New parking meters in San Francisco with flashing red and green LEDs to show the meter maid from afar whether or not the driver has paid or not. White noted the more interesting aspect of this is that all of the meters are power meshed together and the driver can pay via credit card. “You can see how much time is left on your meter and can use a credit card to pay over your phone. It’s good and bad. For you, it’s cool because you can pay by credit card, but the meter maid will now know who hasn’t paid because they can see it on a grid. That’s a real world application of an IoT thing because there is a power mesh and there are sensors that are completely behind it. [Also,] they are all extremely low power and this whole power mesh network for the meters is all powered by solar. Each parking meter has a solar panel in it and it’s enough to drive the green LED and to connect to the mesh and you never have to worry if the electricity goes down because it’s all solar-powered.”

(Source: Mary Ann White)

(Source: Mary Ann White)

(Source: Mary Ann White)

(Source: Mary Ann White)

This is where the IoT is driving the need for energy harvesting, whether it is solar or wind or another method.

“Today,” White continued, referring to the parking meters, “they tend to have just black and white LED displays, and they are extremely low wattage…but at some point people might want to do more and I could see this evolving. We might have to come up with new techniques to lower the power or improve energy harvesting. If you have devices that are part of this mesh, you now maybe want to start creating such low-power deliverable, low-wattage applications that can work off of this mesh. Today it’s LEDs, sensors and low performance LCD displays, but you never know, somebody might be clever enough to figure out some device that can connect to something that can be energy harvestable.”

The low power techniques we have today are applicable for the devices to a certain extent, she added.

Bernard Murphy, CTO at Atrenta, asserted that to a large extent, this will be more of the same techniques already familiar in application processor (AP) design (e.g. DVFS), with accelerated adoption in IoT devices. Then, for ultra-low power nodes, most of the devices should be in standby mode the majority of the time, with just enough always-on logic to monitor for whatever triggers wakeup as this is the only way to get down to (average) μW standby power. “This is not a radically new objective. There are a lot of devices today that function at the μW level. In IoT, we’re adding a more sophisticated controller and a radio, and that’s why you need to adopt some of the AP power-saving techniques to reduce power while on.”

Other significant considerations include sensors/actuators and the RF section of radios, given that analog or MEMS don’t benefit from any of the digital techniques and designers will need to continue to optimize these for power, he noted.

Also, for energy harvesting generators, sufficiently efficient generators with battery or super-capacitor storage could make ultra-power savings moot. If you can harvest what you need, you don’t have to reduce the power further—something that already may be possible for remote sensors, Murphy said.

Cutting the last wire
“When it comes to the IoT space, where the market is served by all these different process nodes, they talk about cutting the last wire—the power supply—so the device can stand on its own,” said , group director of marketing at Cadence. “You don’t have to change the batteries and all of the sensors around your house, or at a complex industrial environment where it’s hard to get in an out of the machinery. As such, the energy harvesting capabilities that people talk about — light and vibration, piezoelectric and heat — are associated with some charge storage capability like a battery or a switch capacitor. You’d like to be able to do that in the most energy efficient way possible. We then get back to what it costs to switch a gate from an energy standpoint at 180nm versus at 28nm. There’s a big difference there. There hasn’t been a lot of effort yet at reducing the supply voltages that those have all been characterized at so far, so you end up with real opportunity there for technology migration forward if you’re really trying to exploit energy harvesting kind of power source technology.”

He predicts there will be new developments driven by the unique needs of the individual segments of the so-called IoT: industrial, medical, consumer, automotive. “The strain is always the amount of computation that you do locally versus what you transmit, and what does it cost to transmit a bit versus more processing locally. Then throw the security on top where you really like to encrypt a lot of these things.”

As far as tools, Carlson sees the realms of existing and new tools coalescing. Right now, the first generation of IoT tools appears to be the IoT development platforms available from companies such as Freescale, TI, NXP, Broadcom and others.

The embedded software space figures in the IoT heavily, as well.

“IoT conjures up a lot of different things,” noted Andrew Caples, senior product manager for the Nucleus product line at Mentor Graphics. “Wearable devices are a good use case. Essentially what you’re going to see in the very near term is a shift in silicon that comes out where you will see multicore devices that are designed for power awareness from the ground up. Yes, they’re going to have the typical operating point transitions where you can change the voltage or change the frequency to go from low power to high power using dynamic voltage frequency scaling. And yes, they will have low power and sleep modes to be able to turn off devices or blocks. That stuff will remain. But what you’ll also see is these multicore devices designed such that there will be super low power modes. You have a core that is barely functioning; it’s really just a heartbeat that goes into that mode when the device is not being used at all and it’s just awake enough so if you touch the device or do any motion then the device will wake up. Then it will transition into a mid-range core where the mid-range core will run some robust software. It will have connectivity like Bluetooth and Bluetooth Low Energy and 802.11 Wi-Fi and it will have a UI, but the UI won’t necessarily be that robust. It will look good but it won’t have the capability of a high-end UI. Then, when you want to run an app that calls for a rich UI and lots of computing power, it will transition to the high-power consuming processor that is very capable and will stay there for that use case only.”

Connected to this, there will be a new wave of embedded type of tooling for packet analysis and other types of security capabilities for monitoring and control, he offered. And for the device itself, there’s so much going on when so heavily connected that the software is going to be a very big deal.

While the IoT is the buzzword of today, the applications lumped under this moniker will have long lives, probably engrained in every part of our lives. The designs ideally will not only be built for low power, but reliability and longevity, as well.



Leave a Reply


(Note: This name will be displayed publicly)