Highly Sensitive Focus Monitoring Technique Based On Illumination And Target Co-Optimization

A simpler method for measuring overlay shifts in printed patterns and identifying diagonal, as well as horizontal and vertical shifts.

popularity

By Myungjun Lee, Mark D. Smith, Pradeep Subrahmanyan, and Ady Levy.

Abstract
We present a cost-effective focus monitoring technique based on the illumination and the target co-optimization. An advanced immersion scanner can provide the freeform illumination that enables the use of any kind of custom source shape by using a programmable array of thousands of individually adjustable micro-mirrors. Therefore, one can produce non-telecentricity using the asymmetric illumination in the scanner with the optimized focus target on the cost-effective binary OMOG mask. Then, the scanner focus variations directly translate into easily measurable overlay shifts in the printed pattern with high sensitivity (∆Shift/∆focus = 60nm/100nm). In addition, the capability of using the freeform illumination allows us to computationally co-optimize the source and the focus target, simultaneously, generating not only vertical or horizontal shifts, but also introducing diagonal pattern shifts. The focus-induced pattern shifts can be accurately measured by standard wafer metrology tools such as CD-SEM and overlay metrology tools.

1. Introduction
Although the semiconductor industry has made significant improvements to the achievable resolution of the lithography patterning, this impressive success comes at the cost of losing the process window (PW) of the devices. In particular, the inverse proportionality to the square of the numerical aperture (NA) in the depth of focus (DOF) is a major challenge, especially in an advanced high NA immersion lithography, raising the importance of process control in semiconductor manufacturing. As a result, the real-time monitoring capability to detect process variations on production wafers is emerging as a new industry requirement [1-2]. At the same time, routine monitoring of focus and dose variations on a dedicated simple stack wafer using a dedicated mask is still essential, however controlling the manufacturing process within such a small PW requires monitoring process variations with much higher sensitivity [3-4].

Several different process monitoring techniques corresponding to different types of metrology tools for the use of specialized targets have been proposed and adapted in semiconductor manufacturing [1-5]. A phase shift focus monitor (PSFM) based on an alternating phase shift mask (APSM) has been one of the well-known methods since it was first introduced by T. Brunner in 1994 [3]. This technique is basically measuring the lateral shift caused by focus errors using the 90 degree PSM illuminated by the small sigma coherent source. Another notable approach is to use an additional Cr mask in the backside of the reticle for generating asymmetric illumination [5]. The main physical principle behind these two approaches is to break telecentricity of the exposure system. Therefore the aerial image formed by the interference between multiple diffraction orders having different phase delays can cause image displacement error through focus variation. While these techniques have certain advantages such as the linearity of pattern shifts to focus variation and the simplicity of the measurement, the critical issues are not enough sensitivity to focus variation and complex mask manufacturing, resulting in excessive mask cost.

Since 2010, the freeform illumination that enables the use of any kind of custom source shape is available in the high NA immersion scanner. Therefore, non-telecentricity can be achieved using asymmetric illumination in the scanner with the optimized focus target on the cost-effective binary OMOG mask instead of using the expensive PSM. In this case, the focus variations directly translate into easily measurable overlay shifts in the resist pattern. In addition, such a freeform illumination allows us to computationally co-optimize the source and the target, simultaneously, providing not only vertical or horizontal shifts, but also diagonal shifts. Further introducing sub-resolution assist features (SRAF) and/or sub-resolution inverse features (SRIF) can successfully yield the design of robust focus metrology targets that ensure printability on the wafer. The focus-induced pattern shifts can be accurately measured by standard wafer metrology tools such as CD-SEM and overlay metrology tools. In this paper, we demonstrate a novel focus monitoring technique with several new focus target designs, which are illuminated by asymmetric off-axis sources. The proposed cost-effective solution can provide desired attributes such as robustness to pattern collapse and much larger focus-induced pattern shifts, ∆Shift/∆focus ~=0.66nm/1nm, as compared to the previous results reported in [1-7].

2. Focus variation-induced pattern shifts
An alternate phase shift mask has been used for monitoring lithography focus by intentionally causing image asymmetries through focus variation. The basic principle for utilizing the APSM is to make 0’th and 1’st order diffractions having different offset from the center of the scanner exit pupil due to the use of non-180 shifters. This shifter can cause image asymmetries through focus. Such an imaging asymmetry can result in the asymmetric resist profile as well as different pattern shifts through focus variation. This technique provides the great benefits including the linearity of pattern shifts into focus variation and the simplicity of the measurement. Nevertheless, this approach is not applicable to production masks due to the expensive cost and non-standard phase shift [3].

Instead of using an expensive APSM, here we introduce the cost-effective solution based on source-target co-optimization using a cost-effective binary OMOG mask. The freeform illumination allows us to use an asymmetric source that can generate non-telecentricity of the scanner imaging system by using particular mask pitches of the focus monitoring target. The aerial image (AI) is symmetrical at focus F = 0 nm and it is perfectly centered on the mask pattern center. On the other hand, increasing defocus causes asymmetric AI profile and shifts the center of the AI. The AI shifts and asymmetric AI directly affect the resist profile and pattern shifts. As a result, the pattern shifts will be proportional to defocus due to the path length difference between two diffraction orders, as described in figures 1 and 2. If two diffraction orders travel exactly same distance, the position of the aerial image becomes independent of focus, resulting in no pattern shifts. Note that all lithography simulations were performed using KLA-Tencor’s PROLITH tool throughout the paper.

As conceptually shown in Figs. 1 and 2, the energy distribution of light diffracted by the mask strongly depends on the mask pattern dimension and the illumination shape in a high NA = 1.35 immersion scanner. These schematics show, in particular, two beam imaging cases, where only two diffraction orders are passing through the entrance pupil due to larger diffraction angle caused by smaller mask pitch. These two diffraction orders may experience different phase shifts at the focal point, resulting in the pattern shifts as a function of focus variation. In addition to this, the pattern shifts caused by non-telecentricity of the imaging system always comes with the inevitable asymmetric resist profile results of side wall angle (SWA) variations, as shown in Fig. 2. This focus-dependent SWA variation is a significant benefit to use the scatterometry as a metrology tool. As illustrated in Fig. 2, for the given asymmetric single pole illumination, the location of the first diffraction order varies for mask pitch values, whereas the intensity of the first diffraction order is depending on mask line to space ratio. Therefore, one can optimize dimension of the focus target that would be more sensitive to focus fluctuations. We will show several different focus monitoring targets in the next section.

kla1
Figure 1. (a) Schematic of the simplified lithography system that provides non-telecentricity. (b)-(d) show the diffraction orders at the scanner exit pupil, where the location of the diffraction orders are defined by mask pitch. (b) and (c) are examples of the non-telecentric system that can generate pattern shifts through focus variation. (d) is the example of maintaining telecentricity, yielding no pattern shift.

kla2
Figure 2. The effect of the single pole illumination, where the binary mask with different pitches is exposed. (a) Single pole illumination. (b)-(d) show diffraction patterns at the scanner exit pupil, where the diffraction angle decreases as increasing pitch. (f) shows resist profiles for different mask pitches from 80nm to 150nm.

In order to understand the sensitivity of the pattern shift to defocus depending on mask pitch, we have computed the amount of the pattern shift as a function of focus for many different mask pitches. Simple line and space grating targets in a binary mask were exposed using a high NA = 1.35 immersion scanner and the computational results are shown in Fig. 3. The pattern placement error (PPE) that is equivalent to pattern shift, calculations are enabled by lithographic simulations using PROLITH with a full calibrated resist model. The single pole source in Fig. 2(a) is used to illuminate the bright field binary mask for patterning the device in 80nm thick positive tone photo resist, where the mask CD is assumed to be equivalent to the mask half pitch. For mask pitch = 80nm, there is no phase difference between two diffraction orders passing through the scanner exit pule, and therefore focus variation does not cause any pattern shift. Physically, it happens when sinθ = λ/2p, where θ is the angle of incidence from the optical axis, λ = 193nm is wavelength, and p is mask pitch. It is important to note that this equation explains the optimal illumination is pitch dependent [8].

However, increasing pitch from p = 80nm will break the telecentricity of the imaging system and therefore the PPE sensitivity to focus variation starts increasing as long as two beam imaging is maintained. For the given illumination, two beam imaging is maintained for 80nm ≤ p ≤ 140nm and p = 140nm provides the maximum pattern shift due to the largest phase difference between two orders, as shown in Fig. 2(d). Further increasing pitch over 140nm will reduce the sensitivity because introducing additional diffraction orders decreases overall phase difference among them. From Fig. 3(a), we observed the linear relationship between the pattern shift and focus, which is one of main advantages for this technique. Since we used 80nm thick resist and resist bottom CD (BCD) is used to measure the PPE, 40nm defocus yields no pattern shifts regardless of mask pitch. It is because the symmetrical aerial image is obtained at the best focus and the best focus of 80nm thick resist is typically middle of the resist height (i.e. 40nm from the bottom). Figures 3 (b) and (c) show resist profiles for two particular cases; (1) maintaining telecentricity with p = 80nm and (2) introducing non-telecentricity with p = 120nm, respectively.

kla3
Figure 3. (a) Pattern placement error (PPE) v.s. focus for many different mask pitches. Resist profiles and top-down CD-SEM images for (b) p = 80nm and (c) p = 120nm.

 

3. Focus monitoring target and measurement

3.1 Focus monitoring target 1

In order to accurate measure the pattern shift caused by focus variation, we first propose a focus monitoring target shown in Fig. 4, where it’s shape and dimensions are similar to the standard AIM mark used for imaging-based overlay measurement. The target in the previous layer can be used as the reference mark so that it must be designed not to provide pattern shifts through focus. To realize this, there are two possible approaches. First, the reference mark should be illuminated by x- and y-symmetric illumination, whereas the focus monitoring target in the current layer needs to be illuminated by the asymmetric source. Another strategy is exposing both targets using the asymmetric illumination, simultaneously. The reference mark must use focus non-sensitive segmenting pitch (i.e., p = 80nm in this example), whereas the focus monitoring target uses focus sensitive pitch (i.e., p = 130 nm ~ 140nm), generating large enough pattern shifts. Then, an overlay measurement tool can easily measure the shifts between targets. In order to achieve the increased sensitivity to focus variations, co-optimizing the target and illumination is crucial. The detailed steps for designing targets and monitoring focus variations are explained in Fig. 5.

Figure 6 shows the simulated CD-SEM images, illustrating how focus variation translates into measurable pattern shifts. Here, the focus target uses segmentation pitch = 130nm to achieve the increased sensitivity to the focus variations while maintaining target robustness to pattern collapse. The reference target also uses same dimensions and it is exposed by the symmetric dipole illumination, resulting in no pattern shift. As expected, the focus target will experience different pattern shifts for different focus values and we observed a significant pattern shift with sensitivity of ∆Shift/∆focus ~= 40nm/100nm. If higher focus sensitivity is required, one can use slightly larger segmentation pitch of 140nm. One notable observation is that the pattern shift is linearly proportional to the focus variation from the best focus F ~= 40nm. From the best focus, decreasing focus shifts the pattern toward positive x-direction and increasing focus causes the pattern shift toward negative x-direction. Since we have not applied the optical proximity correction (OPC) to the target, we observed CD variation between the left and right most lines, however such CD bias can be minimized by inserting multiple sub-resolution assist features (SRAFs). Nonetheless, it is important to note that we still see clear pattern shift in the center line of the segmented target.

kla4
Figure 4. Focus monitoring target design. The current layer is exposed by the asymmetric illumination to generate the pattern shifts for focus changes. Segmenting dimension of the focus target needs to be optimized to maximize focus sensitivity while maintaining good target printability.

kla5
Figure 5. Focus sensitive target design and calibration steps for the proposed focus monitoring technique.

kla6
Figure 6. Simulated CD-SEM images of reference and focus targets with 4 different defocus values. The pattern placement error (i.e., pattern shifts) is almost linearly proportional to focus changes.

3.2 Focus monitoring target using SRIFs
One of the real world constraints for designing a focus monitoring target is to ensure the target printability and robustness by limiting the acceptable side wall angle (SWA) of the segmented lines through the reasonable range of focus monitoring or improving the aspect ratio (resist width/height) of the segmented lines. Note that the aspect ratio of the target shown in the previous sub-section is much less than 1. For this purpose, the new focus target utilizes the sub-resolution inverse features (SRIFs) not only to improve the aspect ratio of the target, but also to increase sensitivity to focus variation. Figure 7 shows the new focus target design by adapting SRIFs, which are not printed on the wafer. These SRIFs creates an equivalent dense environment and SRIF pitch = 130 nm is used to enhance the focus sensitivity. As we already pointed out in the previous section, the key to successfully monitor process variation is to design targets to maximize both sensitivity and robustness. Note that removing SRIFs will dramatically reduce focus sensitivity. Figure 8 demonstrates the PPE for 3 different defocus values and we observed clear pattern shift through focus changes. The proposed target can yield pretty high focus sensitivity (∆Shift/∆focus ~= 60nm/100nm) together with the improved pattern fidelity. Therefore, scanner focus errors will be determined with great precision and accuracy using the standard imaging-based metrology tools

kla7
Figure 7. Schematic of the focus target using SRIFs. SRIF pitch and width need to be optimized to maximize both sensitivity and robustness.

kla8
Figure 8. Simulated CD-SEM images of the reference and focus targets with 3 different defocus values = 0, 40nm, 80nm. The pattern placement error (i.e., pattern shifts) is linearly proportional to focus variation.

3.3 Focus monitoring target generating diagonal pattern shift
The method shown in previous sub-sections relies on the horizontal pattern shifts using the vertically segmented focus target that illuminated by the single pole source, where pole location is shifted in x-direction from the center of the illumination pupil. Here, we use the rotated single pole illumination to generate the diagonal pattern shifts through focus variation and the schematic of the technique is illustrated in Fig. 9. The target consists of both reference and focus targets, each of which includes two edges in both the x and y directions, and therefore the center can be defined in each target. The distance between the two centers in both directions can be measured as overlay error, which can be directly translated into focus value. Again, the illumination and the target dimension need to be optimized to provide reasonably large enough pattern shift, while maintaining the target robustness. The results using the optimized target are shown in Fig. 10, demonstrating the diagonal pattern shift of the focus monitoring target in the current layer. The segmentation pitch = 140nm and width of the SRIF = 15nm are used for the binary mask. We observed the strong PPE sensitivity to focus variation, resulting in the diagonal shift with sensitivity of ∆Shift/∆focus ~= 66nm/100nm.

kla9
Figure 9. Schematic of focus monitoring technique that uses diagonal pattern shifts. Rotated single pole source is used to illuminate the focus sensitive target on the binary mask. Segmentation dimension of the focus target are optimized to maximize the focus sensitivity while maintaining good target printability.

kla10
Figure 10. Simulated CD-SEM images of focus targets for 3 different defocus values. The calculated PPE and directions are summarized in the table.

4. Conclusions
We demonstrate a cost-effective focus monitoring technique based on the illumination and the target co-optimization in a modern high NA lithography tool. Using the asymmetric illumination and the optimized focus targets on the binary mask, we can produce non-telecentricity which provides linear pattern shifts either in horizontal or diagonal direction through focus changes. The proposed targets are designed to maximize both sensitivity as well as robustness, and thus we can achieve very high sensitivity of ∆Shift/∆focus ~= 66nm/100nm. The focus-induced pattern shifts can be accurately measured by standard wafer metrology tools such as CD-SEM and imaging based overlay metrology tool. The method presented in this paper is not limited for the imaging-based metrology. Importantly, the simple line and space target using focus sensitive pitch experiences strong focus-dependent SWA changes when it is exposed by the asymmetric illumination. Then, the scatterometry tool is suitable to capture such SWA variations through focus.

References
[1] S. Pandev, F. Fang, Y. Kim, J. Tsai, A. Vail, L. Subramany, D. Sanko, V. Ramanathan, R. Zhou, K. Venkataraman, and R. Haupt, “Signal response metrology (SRM): a new approach for lithography metrology,” Proc. SPIE 9424 (2015).
[2] J. Kim, Y. Park, T. Jeong, S. Kim, K. Yoon, B. Choi, V. Levinski, D. Kandel, Y. Feler; N. Gutman, E. I. Ashwal, M. Cooper, D. Choi, E. Herzel, T. David, J. Kim, “Scanner focus metrology for advanced node scanner monitoring and control” Proc. SPIE 9424 (2015).
[3] T. A. Brunner, A. L. Martin, R. M. Martino, C. P. Ausschnitt, T. H. Newman, M. S. Hibbs, “Quantitative stepper metrology using the focus monitor test mask,” Proc. SPIE 2197, 541 (1994).
[4] H. Nomura, “New phase shift gratings for measuring aberrations,” Proc. SPIE 4346, 25-35 (2001).
[5] S.X. Li, J.R. Cheng, A. Bourov, G. Sun, “A novel focus monitoring technique using Iso-Dense overlay mark,” Proc. SPIE 8681, (2013)
[6] C. Archie ; E. Solecky ; P. Rawat ; T. Brunner, K. Yoshimoto, R. Cornell, O. Adan, “CDSEM focus/dose monitor for product applications,” Proc. SPIE 7638, (2010).
[7] T. A. Brunner and C. P. Ausschnitt, “Process monitor gratings”, Proc. SPIE 6518, 651803 (2007).
[8] H. J. Levinson “Lithography and mask challenges at the leading edge”, Proc. SPIE 8324, 963502-1 – 963502-7, (2015).

This paper was originally published as part of SPIE proceedings March 24, 2016.



Leave a Reply


(Note: This name will be displayed publicly)