Inside Multi-Beam E-Beam Lithography

One-on-one: David Lam sounds off on next-generation lithography and how to solve some very difficult problems.

popularity

Semiconductor Engineering sat down with David Lam, chairman of Multibeam, a developer of multi-beam e-beam tools for direct-write lithography applications. Lam is also a venture capitalist. He founded Lam Research in 1980, but left as an employee in 1985. What follows are excerpts of that conversation.

SE: How has the equipment business changed over the years and what’s the state of the industry today?

Lam: It’s a very challenging environment. The customer base is consolidating. I still remember the days when there were a lot of fabs around Silicon Valley in the 1980s. Back then, there were more companies. You could approach them with a new technology. They didn’t have to be the biggest company. But they were willing to be the first one to try something out. That was a big deal. So you could really figure out how to improve your technology. Today, however, the industry has become extraordinarily risk-adverse.

SE: Let’s go back in time. In 2009, you stepped in and took over Multibeam. At the time, multi-beam e-beam technology in general was (and still is in many cases) being touted as a next-generation lithography (NGL). Like EUV, multi-beam was supposed to pattern all layers and displace optical lithography. What did you discover about the multi-beam e-beam market when you took over Multibeam?

Lam: At the time, we recognized that the multi-beam e-beam market was not there. So, we needed to understand exactly where the industry was going and what does the customer need. Then I saw three developments in the industry. The first one was DFM. Back in 2010, every conference was full of DFM papers. In many cases, the papers discussed 2D layouts. In some cases, people said: ‘2D layouts are not manufacturable.’ Still others said: ‘Of course you can do that, but at a higher cost.’ In any case, at around that time, Intel was quietly using 1D layouts. They were using 1D since 2007. They switched from so-called 2D, or two-dimensional layouts, to 1D or lines and cuts.

SE: What else did you discover?

Lam: The second observation was that optical lithography is doing both the lines and cuts. Even today, everybody is using optical to print their lines and optical to make their cuts. But here lies a problem. For printing lines, optical cannot go below 80nm. Optical lithography is dictated by Rayleigh’s Equation. But the industry is smart. It figured a way to get around these limits with pitch-division. Some people call it SADP or SAQP. It’s double- or quadruple-patterning by using deposition and etch to reduce the pitch and increase the line density. It’s cost-effective and everyone has been using it for the last few years.

SE: So what’s the problem?

Lam: You can use optical for the lines. But how about the line cuts? You have to resort to multiple patterning today. Multiple patterning is the default solution. So now, everybody is screaming about cost. Not about the lines, even though you need multiple steps like pitch-division with deposition and etch. That cost is acceptable. The issue involves line cuts and holes, where you need to use optical with multi-patterning. All the cost comes from there.

SE: What was the third observation?

Lam: At SPIE in 2010, Yan Borodovsky of Intel presented a famous paper on complementary lithography. (Borodovsky, a former fellow at Intel, retired from the company earlier this year). If I were to paraphrase Borodovsky’s presentation, he said: ‘Don’t get hung up on NGL. It doesn’t have to be one single lithography technology to do the work. There could be two lithography technologies that work hand-in-hand to solve the patterning problems for the critical layers and to reduce cost.’ What Borodovsky meant by the critical layers are the line-cut layers and the holes.

SE: Based on those observations, it appears that Multibeam started to develop a multi-beam e-beam technology called Complementary E-Beam Lithography (CEBL). CEBL is aimed for direct-write lithography applications. So where does CEBL exactly fit in?

Lam: If we are able to take multi-beam e-beam technology, and handle just the cuts, then we have an opportunity to contribute to the solution. So we are not an NGL, but rather we are a complementary technology.

SE: So in a nutshell, CEBL is not focusing on the lines. It is focusing on the cuts only, right?

Lam: Yes. We are not trying to replace optical. We want to support the extension of optical. Optical lithography is doing a great job in printing lines and doing the front-end.

SE: What’s the current status of your product?

Lam: I can’t talk about those things. It’s at the competitive stage.

SE: In general, though, multi-beam e-beam technology is well understood. It uses multiple e-beams to print patterns directly on a wafer. The big advantage of direct-write is that it does not require a costly photomask. When CEBL is introduced into the market, what will it bring to the party?

Lam: At one time, I envisioned that the industry would be moving toward a number of new and diverse applications. So the industry would have to do a lot of prototyping of chips. In a recent presentation, I discussed how CEBL can help the industry reduce the cost of prototyping. The design changes that you need to make in prototyping today require you to get a new set of masks before you do a re-spin. One mask-set is very costly. It takes six weeks or something like that. Now, with CEBL, designers can input the data, and make changes, into the computer directly. So we’re talking about reducing the weeks to hours. The cycle time and cost will be drastically reduced.

SE: In the past, you’ve talked a little about your product. Is it still based on a multi-column approach?

Lam: Yes. The first thing we did was to miniaturize the columns. Now, you can have an array. Then, you can do parallel writing. You have to make the columns smaller in order to have multiple columns. If the columns are bigger, you have a magnetic field. Then, you need a magnetic core to generate the field. That’s a large system. What we did was to get rid of the magnetic field. Everything is electrostatic.

SE: What else?

Lam: We can take full advantage of 1D layouts. We focus on the cuts. If you do the cuts and add the total area, it’s about 5% of the wafer. So essentially, you deflect the beam towards the cuts. And you skip 95% of the rest of the wafer.

SE: Can you say anything more about your system?

Lam: We designed our column with a relatively high current. You also need a scalable architecture. We have a single module that works. We are also developing a cluster tool with multiple modules. You can start prototyping using one single module. You won’t need more than that to develop the new chip. But after that, every module is copy exact. So with multiple modules, you can transition from prototyping to volume production very fast.

SE: Still, there is a lot of skepticism about direct-write and multi-beam e-beam for lithography. In the 1980s, IBM tried and failed with direct-write e-beam. Others have promised the technology, but failed to deliver. Any comments?

Lam: There has been skepticism for a long time. When I first took over the company, I tried to explain what we do. The industry said: ‘If IBM couldn’t do it, then why do you think you can?’ At the time, I didn’t have an answer to that. The second question people asked was this: ‘If you think e-beam is so good, where have you been for the last 15 years?’

SE: There are other issues. Over the years, e-beam and multi-beam for direct-write lithography have never gained much traction. Why?

Lam: Tennant’s Law essentially captures in a single equation the difficulties of e-beam direct-writing getting into the mainstream. Tennant’s Law can be represented by T=k R to the fifth power. T is throughput, k is a constant and R is resolution. Tennant’s Law shows that throughput for direct-write lithography deteriorates rapidly with improving resolution. When feature size improves by 50%, throughput drops to 3%. That’s why e-beam direct-write has so many problems and never got into mainstream production.

SE: How do you answer the skeptics? And how is your approach different than others?

Lam: The key is that we are not falling into the same trap as the conventional e-beam approach. The conventional e-beam approach is a single column with pixel writing.

SE: Multibeam appears to have found the right formula and is making progress, right?

Lam: I can tell you this. It’s coming along very well. We have customers.



4 comments

memister says:

Many still forget that cuts are required when the spacer is used to pattern conducting features. When the spacer is used to pattern dielectric, no need for cuts.

memister says:

Looks like SAQP can go down to 12 nm half-pitch with the same number of masks as SADP:

https://commons.wikimedia.org/wiki/File:1D_vs_2D_SAQP.png

The question is beyond, even EUV has too many masks needed for cuts:

https://en.wikipedia.org/wiki/File:9_nm_half-pitch_EUV_cuts.png

So maybe around 10 nm half-pitch, that’s when e-beam needs to come in.

semimike says:

Thanks for the update.

I remember the early work at IBM on direct write on metallization layers of IC’s long long ago. The “Quick Turn-Around Lines” where enclosed systems that customized the many peripheral chips needed to support their mainframe installations. The flaw was that they had to be opened up for maintenance…and they were NOT in clean rooms at that time, so long cleanup times were common and they did not survive as devices were shrunk. But at the time they solved a problem in cycle time logistics as well as cost reduction (no masks for these small batches of wafers or single wafers.)

Then came decades of predications about the return of direct write in various forms. Laser direct write vs e-beam direct write, single vs multi-e-beam. May long-winded physics papers issued in 90’s and 21st century showing the many roadblocks. Could fill a book…maybe already has filled a few books.

Indifferent_observer says:

To semimike Mike, being heavily involved in multi E-beam DW litho R&D under DARPA funding for quite a number of years and understanding better than well the concert pros and cons, I believe that the enormous complexity of the concept to be implemented might be additionally complicated with a not perfectly envisioned leadership, because it is so easy to drive the activities in wrong direction. And once it gets clear that the team was driven wrong but the menagerial ambitions are at high, then the disaster develops. To me, the concept is possible to be implement, but it requires a leadership with great vision and an excellent team with perfectly selected complimentary skills. Otherwise it is a direct way to failure

Leave a Reply


(Note: This name will be displayed publicly)