Integrating DSA

Even if EUV does become available, it may be too expensive for smaller manufacturers.

popularity

As previous articles in this series have shown, directed self-assembly may be a promising alternative for manufacturers seeking to shrink feature sizes in the face of a stalled exposure tool roadmap. It is simpler than some other frequency-multiplication techniques, can be implemented with existing equipment, and does not appear to introduce insurmountable defect issues of its own. Which does not mean, however, that the technology is ready for high volume manufacturing. The phrase “directed self-assembly” actually encompasses a range of processes, each with advantages and disadvantages. Indeed, different device layers may require different DSA integration schemes.

How to control segregation: trenches, or chemical stripes?
The first major division is between chemoepitaxial and graphoepitaxial DSA. Any DSA scheme requires a “pre-pattern,” a guide structure on the wafer that the block co-polymer material will self-assemble around. In chemoepitaxial schemes, this structure is provided by a “brush” layer, which has an affinity for one of the co-polymers. For example, the Liu-Nealey (LiNe) process flow, developed at IMEC and one of the most widely studied DSA flows, begins with an SiN coated Si wafer which is then coated with cross-linkable polystyrene (XPS). A standard 193 nm immersion lithography process is used to produce an 84-nm pitch line/space pattern in a regular photoresist. Etching trims the line CD to <20nm and opens the underlying XPS layer. After photoresist strip, the resulting XPS pattern is coated with a random brush that serves as neutral material. Baking selectively grafts the brush layer to the nitride substrate, but not to the XPS lines. Excess brush material is removed by a solvent rinse. Finally, a lamellar phase block co-polymer (BCP) with 28-nm domain size is coated and annealed. DSA line patterns appear after dry etch selectively removes the PMMA block. A key aspect of the LiNe flow is that the BCP layer is a blanket film. It uniformly coats the wafer, with little or no topography difference between neutral and chemically prepared areas. For this reason, it is relatively easy to achieve a uniform layer thickness. On the other hand, because the BCP layer is not mechanically constrained, the energy of the pre-patterned surface is critically important. Chemical contaminants and pre-patterning process steps can change the “neutrality” of the neutral regions, giving them an affinity for one of the co-polymer materials. Measuring surface energy differences is challenging, so it may be necessary to coat and develop the BCP film in order to detect pre-pattern defects. Furthermore, as previously discussed, this approach works best when surface energy at the air/polymer interface is similar for both co-polymers. This requirement limits the range of materials that can be used, and therefore the device dimensions that can be achieved.

In the alternative approach, graphoepitaxial DSA, a physical trench confines the BCP material. Different research groups have used a variety of materials to create these trench structures, ranging from photoresist to hard mask materials such as SiN. Because the film is mechanically confined, control of surface energy is less important. On the other hand, the dimensions of the pre-pattern features are more important. The topography of the wafer makes it more difficult to achieve a uniform coating thickness: smaller features will be more difficult to fill than larger ones. Annealing of the film can cause shrinkage, further degrading film uniformity. Since the BCP will ultimately be used as an etch mask, poor thickness uniformity can lead to poor CD control. Moreover, the materials used in graphoepitaxy tend to align parallel to the wafer surface. Thickness variations can change the number of polymer domains that are present in a film.

What about complex features?
Domain size is also an important consideration for DSA integration generally. Each BCP material has a characteristic domain size, depending on the molecular weights of the co-polymers. Paradoxically, increasing domain pitch can be more difficult than reducing it, because heavier molecules diffuse more slowly and need more time to achieve complete segregation. It is relatively easy to make structures corresponding to multiples of a given material’s characteristic dimension, but relatively difficult to achieve different pitches in a single layer. DSA-friendly design rules may offer designers less flexibility to specify transistors with different dimensions for different tasks.

Further constraints arise because DSA methods can easily construct regular arrays of features, such as line/space test patterns. More complex structures, however, must manage constructive and destructive interference between adjacent guide patterns. Companies like Mentor Graphics are beginning to develop “reverse lithography” tools to decompose the desired end result into the pre-patterns needed to achieve it.

After the BCP material has segregated into domains, both polymer materials are still present on the wafer. The fab can “develop” the pattern using a wet chemical solvent, or by dry etching, assuming there is sufficient etch contrast between the two co-polymer materials. The developed BCP material can then serve as the etch mask for pattern transfer directly to the substrate, or can be used to pattern a hard mask material prior to the final etch. The best solution is likely to depend on the layer being patterned, as well as on the BCP being used. For example, the etch contrast between PS and PMMA in PS-b-PMMA is relatively poor. It may be necessary to enhance etch contrast by, for instance, adding inorganic components to one or both component polymers.

In advanced applications, the developed BCP structure might even serve as the guide pattern for a second, nested, BCP material. Indeed, part of the technology’s appeal is its potential as a tool kit for a wide range of pitch multiplication schemes. The slow development of EUV lithography has forced even the largest IC manufacturers to extend the capabilities of existing exposure tools by any means necessary. For smaller manufacturers, though, EUV may always be out of reach financially. Tools like DSA may be a more feasible way to continue to improve device density.



Leave a Reply


(Note: This name will be displayed publicly)