Optical Metrology Solutions For 10nm Films Process Control Challenges

Stack grading and the advantages of using spectroscopic ellipsometry with multiple angles of incidence.

popularity

By Sridhar Mahendrakar (a), Alok Vaida (a), Kartik Venkataraman (b), Michael Lenahan (a), Steven Seipp (a), Fang Fanga (a), Shweta Saxena (a), Dawei Hu (b), Nam Hee Yoon (b), Da Song (b), Janay Camp (b), Zhou Ren (b). [a: GlobalFoundries; b:KLA-Tencor]

Controlling thickness and composition of gate stack layers in logic and memory devices is critical to ensure transistor performance meets requirements, especially at 10nm node due to the 3-d geometry of devices and tight process budget. It has become necessary to measure and control each layer in the gate stack before and after dielectric and metal gate deposition sequences. A typical gate stack can have 5-7 layers including the interfacial layer, high-k dielectric, metal gate stack, work function layers, and cap layers. Similarly, PMOS channel strain is controlled using a graded SixGe1-x stack grown epitaxially over fins in the source/drain regions. This graded stack can have 2-4 layers of different thicknesses and Ge concentrations. This paper discusses the benefit of using spectroscopic ellipsometry with multiple angles of incidence to accurately and precisely determine the thickness of individual layers in critical gate layer stacks at various process steps on planar and grating surfaces. We will also show the benefit of using an advanced laser-based ellipsometer, for ultra-precise measurement of the gate interfacial layer oxides.

1. INTRODUCTION
Operating transistors with reliable performance within 1V threshold voltage as required by newer mobile processors requires excellent electrostatic control of the transistor. That control of device performance in turn requires sub-Angstrom tolerances for the critical dimensions (CD) of devices. At the same time, the 3D geometry of fins and gates that have defined the device since 14nm node are becoming even more complex at 10nm node. The geometry of the devices are patterned not only by the lithography and etch processes, but also by the film deposition steps in the process flow, of which several more critical ones have emerged as the semiconductor logic device industry marches toward the 10nm node and beyond. While gate dielectric thickness and composition has always been a critical parameter to control the threshold voltage and drive current, the introduction of high-K materials and 3D geometry for the gate dielectric has increased the potential number of defects that can occur in the film. The thickness control limits have approached 0.4-0.6Å at the 10nm node, and the process impact of the subsequent metal gate deposition steps can alter the composition through diffusion and introduce crystalline defects such as interfacial traps. Therefore, it has become necessary to measure and control each layer in the gate stack before and after dielectric and metal gate deposition sequences. A typical gate stack can have 5-7 layers including the interfacial layer, high-k dielectric, metal gate stack, work function layers, and cap layers. Similarly, PMOS channel strain is controlled using a graded SixGe1-x stack grown epitaxially over fins in the source/drain regions. This graded stack can have 2-4 layers of different thicknesses and Ge concentrations.

Measuring the thickness of individual layers in complex stacks such as these has traditionally been done by optical and x-ray films metrology on planar film stack pads present in the scribe lane of product wafers. As a rule of thumb, the ratio of metrology precision to process tolerance (P/T) of 10% is considered acceptable for in-line process control. Given the tight process tolerance, meeting a P/T of 10% is extremely challenging for a multi-layer film stack with very thin films. This is due not only to the precision of <0.06Å required, but also the very small scattering volume of the thin films that requires very high signal/noise for sufficient measurement sensitivity.

Till date, optical metrology methods such as ellipsometry have fixed the thickness value of one or more layers in a complex stack by either assuming nominal values for those layers or fixing their thickness values based on a previous measurement step. This is done in order to reduce the number of correlated parameters that have to be floated in the modeling process. However, given that any given process step can alter the properties of the layer that was previously deposited, it is inaccurate to assume thickness of any layer in critical film stacks. One potential solution is to add more signal pathways (or channels) to reduce modeling parameter correlations as has recently been demonstrated in Scatterometry/OCD (optical critical dimension).

An additional problem is the correlation of the film properties measured on these proxy planar pads to those of the device film stack degrades as the control requirements shrink at 10nm and the substrate geometries have become 3D instead of planar. Therefore, there is a need to move toward measuring films properties on device/product (aligns with theme of ‘measure what matter’, or failing which, to measure films on proxy targets that more closely resemble the 3D geometry of the device.

2. Key Challenges and Potential Solutions
In this paper we have identified three important areas in thin film metrology space where there is a need for improvement and/or new innovation. Below, we discuss each of these three areas and also identify key challenges across 10nm process flow that fit into these three areas.

Table 1. Key Challenges identified across the modules in 10nm and beyond process flow and potential solutions
Screen Shot 2016-08-17 at 9.01.26 AM

2.1 High SNR
As described in the previous section, with semiconductor logic device industry marching toward 10nm node and beyond, typical diffusion process control limits are being tightened to the level of ~0.3-0.6Å which requires a very tight metrology budget of < 0.06Å. These tightly controlled planar structures are typically very thin and in-turn the scattering volume for ellipsometry is very low, leading to sensitivity limitations. The sensitivity in turn is directly proportional to signal to noise ratio (SNR). A solution for the signal/noise issue that has proven viable is using a laser-sustained plasma source for spectroscopic ellipsometers, which has been successfully for optical CD metrology tools in the past [1]. A second technological option for high SNR is laser-based ellipsometry, which provides a very stable and high-precision measurement for single layer films. In this paper we provide results showing the improvement obtained by using a laser-based single-wavelength ellipsometer (SWE) and laser-sustained plasma sources (LSPS).

2.2 Multiple Channels (Multi AOI, RPRC)
For complex multilayer MG stacks measurements which are typical in RMG module, the challenge has always been with measuring thickness of individual layers at each deposition step. In the past techniques like data feed forward (DFF), or thickness values from TEMs have been used to fix the underlying layers in a complex stack to reduce the number of correlated parameters floated in the modelling process. However, in 1x nm nodes and beyond, where any given process step can alter the properties of the previously deposited layers, fixing underlying layers deteriorates the accuracy and quality of measurements. Thus it has become a necessity to measure individual layers at each deposition step. One potential solution is to add more signal pathways (or channels) to reduce modeling parameter correlations as has recently been demonstrated in Scatterometry/OCD (optical critical dimension) [2, 3]. In this paper, we present the benefit of using spectroscopic ellipsometry with multiple angles of incidence, rotating polarizer and rotating compensator (RPRC) to accurately determine the thickness of individual layers in a metal gate layer stack.

2.3 Novel Metrology Solutions
Metrology solutions need to be accurate and precise in order to enable tight control of process modules, and to this end many solutions exist – some of them have been described in this work so far. However, another important factor is the correlation between in-line metrology of wafers in a fab and the actual device performance. In other words, measuring what matters. While thickness and composition measurements conducted on a monitor wafer or planar film pads may aid process chamber control, they may be only weakly correlated to actual device performance. This can be due to 2 reasons: (i) the proxy target measured by metrology does not respond to process variations in the same way the device does (ii) there are properties of the proxy target and/or actual device that are not being captured by the existing metrology, and thus the predictive value (in terms of device performance) of the metrology is limited.

2.3.1 Films on Gratings
Addressing the 1st reason above, it has been observed since the advent of FinFET technology that planar films targets on product wafers have limited correlation to process variations for certain layer types. One example is SiGe, since the stack is grown on fins instead of planar surfaces, and the layers grow as 3D structures rather than planar films. At present, no single metrology technology has proven capable of measuring the 3D shape and Ge% of each layer in the SiGe stack grown on fins. A typical approach would be to use optical CD metrology to measure the shape dimensions and use x-ray technologies to measure the Ge%. Another example is the growth of the HK and IL on fins, followed by MG deposition, after the poly (dummy) gate has been etched back. In this case, the films grow conformal with the fin surface, and are therefore more amenable to be treated as “films” rather than 3D structures. The process challenge can thus be viewed as an extension of traditional films thickness control, with the difference being that the metrology feedback for process control needs to be obtained from films grown on topography that is representative of the device rather than a planar surface. While this can be done by optical CD metrology, it is worth noting that optical CD metrology typically provides sub-nm level resolution whereas films metrology provides sub-Å level precision. Furthermore, optical CD metrology has relatively large and periodic 2D and 3D scattering volumes that generate sufficient signal response that noise is less of a limiting factor for high precision than correlations due to large degrees of freedom. Measuring film thickness on grating targets like optical CD with films-metrology-level precision is therefore a major challenge. Both hardware and modeling algorithm innovations are required to bridge this gap. We have made progress on this front using 14nm process HK/IL stack grown on 2D fin array as a test vehicle (see schematic of this structure in Figure 3).

2.3.2 Bandgap Measurement (Eg)
The 2nd reason that correlation of metrology to device performance could be limited is that a key material property is not being measured by metrology. Thus far, we have discussed film thickness and composition primarily, which are controlled by deposition chambers. However, the impact of post deposition anneal may not always be captured by a thickness measurement. For example, in the post deposition anneal experiment shown in Figure 5, no change in HK layer thickness beyond the normal variation limits was measured. However, it is known that thermal processing in steps subsequent to deposition lead to changes in material properties of the dielectrics and gate, which will in turn impact device performance. Absent any in-line metrology solution to capture the impact of these thermal processes, the only learning comes from electrical measurement of device performance after the entire transistor array has been fabricated and wired for testing. It takes typically 3-4 weeks to go from initial IL, HK deposition to electrical testing. During this time, and process excursion not captured by in-line metrology can lead to scrapped lots after the electrical testing reveals defective performance. One dielectric or semiconductor material property that can capture the impact of thermal processing is its bandgap. The bandgap of the gate dielectric material also directly and strongly correlates to the device performance metrics such as leakage current [4]. It is therefore of interest to measure gate dielectric bandgap in addition to thickness for the critical layers in the gate stack.

3. METHODOLOGY
Potential solutions described in earlier sections have been tested using various inline applications. In this section we discuss each of the application that has been used to test the solutions and also methodology that has been used.

In order to test the improvement we obtain using laser based light source and laser driven light sources, we have used two applications – i) Very thin oxide film on Si substrate which is regular run of the mill application that is used to test new hardware and ii) Complex graded SiGe on Si substrate.

Screen Shot 2016-08-17 at 9.05.49 AM
Figure 1. Applications used to demonstrate advantage of High SNR from Laser based/ laser sustained light sources.

To test the advantages that are obtained by using multiple channels to extract information from the wafers, we have used complex MG stack applications after each deposition steps.

Screen Shot 2016-08-17 at 9.06.29 AM
Figure 2. Applications used to demonstrate the advantages obatained using multiple channels to extract important information.

These same wafers have also been used to demonstrate band gap measurement capability across the RMG process flow. Also, a 2D fin structure with HK IL deposited on top of fins has been used to demonstrate films on gratings (FOG) capability.

Screen Shot 2016-08-17 at 9.08.37 AM
Figure 3. Applications/ Structure used to demonstrate TF measurement on gratings (FOG)

4. SUCCESS METRICS

In this paper, following success metrics have been used to evaluate the attributes of each of the solutions:

4.1 Precision
Precision is a measure of the variability, typically used to describe a single tool’s measurement uncertainty. The precision is typically quoted in terms of +/- 3 sigma carrying the units of raw data. In this paper, we have done precision studies were carried out by measuring same site on the wafer 30 times and calculated 3 sigma.

Precision to tolerance (P/T) ratio is a measure of the gauge measurement uncertainty relative to the desired process needs. The gauge needs to have sufficient precision in order to control the process. As a general guideline, values of P/T ratio up to 15% are considered useful for APC control, values from 15% to 25% are useful for process control without APC, values from 25% to 45% are useful for excursion detection, and values upwards of 45% are considered unusable. The 3 sigma value of precision should be used in the numerator. The tolerance value is typically the Upper Spec Limit (USL) – Lower Spec Limit (LSL).

4.2 Short Term Dynamic Repeatability (STDR)
Measurement variation obtained when the same characteristic is measured several times with the same measurement tool and fixture, also called equipment variation. In this paper, we have done STDR by measuring 9 sites on a wafer and loading/ unloading 30 times.

4.3 Fleet Measurement Precision (FMP)
FMP is a measure of how well entire fleet matches fleet average [5]. Entire fleet has one FMP value. Individual tools in the fleet are checked for precision compliance, average offset compliance, non-linearity and SiS offsets which are fundamental contributors to matching. Each tool in the fleet has its own TMP (Tool Matching Precision) which is measure of how well an individual tool in the fleet is matched to fleet average.

4.4 Accuracy
Accuracy is concerned with the question ‘Is the measurement the right measurement?’ rather than the matching question ‘How similarly do tools measure?’ or the precision question ‘How repeatable is the measurement?’ The challenge is to make the measurement sensitive to actual changes in the primary characteristic of the measurand.

Despite the lack of relevant standards to calibrate inline metrology instruments, there is a strong and continuing need for calibration. Part of the solution to this problem is the construction of processed stressed artifacts. The rest of the solution is an in-house reference measurement system. This system is a combination of instrumentation and expertise that provides measurements with uncertainties consistent with the needs of semiconductor manufacturing and development. The key attribute of a reference measurement is the intrinsic accuracy of the instrument. A workhorse instrument needs to be precise and fast while a RMS must be accurate.

In this paper, XPS measurements and DOE tracking were used as reference measurements where ever applicable.

5. RESULTS & DISCUSSION
The measurement results discussed here are split into 3 sections. The first 2 focus on metrology solutions that enable superior process control for some of the process modules in a CMOS logic fab that strongly impact transistor performance. The final section focuses on opportunities to improve the correlation of inline metrology to device performance.

5.1 Improved SNR
5.1.1 Diffusion module: controlling the interfacial layer (IL) and high-k (HK) layer thickness across the wafer and from wafer to wafer within ±0.4Å is required. Assuming a metrology budget of <10%, fleet matching range of ±0.04Å needs to be demonstrated. The IL layer is grown directly on the Si substrate and therefore can be treated as a single layer from a metrology perspective, assuming queue time from the process to metrology is controlled well enough to neglect the impact of airborne molecular contamination (AMC) and further film growth during transit from process to metrology. The key metrology challenge here is sensitivity to small thickness changes, which is noise limited once systematic errors have been minimized by appropriate calibrations. On this basis, a laser-based single-wavelength ellipsometer (SWE), which intrinsically is a high signal/noise (S/N) system with well-characterized system-level errors, would be suitable to measure the IL thickness with very high precision. FMP data for thin oxide measurements is shown in Figure 4.

Screen Shot 2016-08-17 at 9.11.50 AM
Fig 4: Tool matching data of Oxide thk (Oxide on Si) obtained on 3 different laser-based ellipsometers shows the capability to measure within impressive <0.02Å range for typical thin film applications

It is observed that across 2 different tools, with 5 repeats of 9-point measurements per tool, the entire range of values falls within ±0.02Å for the tools that use laser based SWE light source. This performance shows that an SWE with sufficiently advanced S/N and calibration can consume as little as 5% of the process budget for IL thickness control. This enables improved process control, because in-situ sensors may not have sufficient resolution to capture minor variations in process parameters such as annealing temperature, gas flow, etc. Conversely, if available sensors could detect these process parameter variations with very high resolution, the impact of these process variables on the wafer will not be captured unless the wafer metrology is similarly advanced. An example of this impact is shown in Figure 5, which depicts the variation in IL layer thickness with post-deposition anneal temperature in a controlled experiment that we conducted.

Screen Shot 2016-08-17 at 9.12.54 AM
Figure 5. The IL thickness variation from the standard nominal value (normalized to 0) at standard post deposition anneal temperature (normalized to 0) in response to different anneal temperature setpoints.

From the data and associated trend shown in Figure 5, we can estimate that a 0.5°C variation in anneal temperature can cause a 0.02Å IL thickness change. Whereas in-situ sensors are available that can resolve < 0.5°C variation in say chuck temperatures, mapping that process parameter to a wafer result has been limited in the past due to metrology systems that did not provide better than ~0.25Å FMP, which would correspond to an anneal temperature variation of >5°C. Temperature variations this large would be caught by in-situ sensors and therefore metrology would be limited to “corner case” excursion control or systematic drifts. Fine control of process chambers for both across-wafer and wafer-to-wafer thickness uniformity therefore would require a metrology tool capable of delivering an enabling level of resolution, which we have demonstrated here.

5.1.2 Epi module: Controlling the thickness and Ge% for each layer in a multi-layer SixGe1-x (SiGe) film stack is required to engender the appropriate channel strain and consequent hole mobility in the PMOS transistor arrays. The process control would ideally have feedback on the thickness and Ge% from the device region itself, but this is not possible with any known existing in-line metrology solution. Instead, film and grating proxy metrology targets placed in the scribe lanes of the wafer are used to monitor the process in-line. Whereas grating targets more closely approximate the actual device, and therefore will tend to have better correlation to parametric yield, the complex 3D geometry of these targets combined with the large number of degrees of freedom limits the metrology resolution of the thickness and Ge% for each layer in the SiGe stack. Planar film proxy targets have substantially lower complexity, and therefore it is possible to obtain thickness and Ge% for each layer with high resolution. Consequently, epitaxial deposition reactor control benefits from high-resolution films target metrology feedback, and the coarser grating target metrology information can eventually be correlated to parametric yield. The results discussed here focus on measurement of planar films targets.
Optical measurement of SiGe stack films thicknesses are challenging from a sensitivity standpoint due to the high light absorption by Si and SiGe in the 240-400nm wavelength range, which is also the wavelength range where the maximum dispersion contrast is observed between different Ge% SiGe layers. This tradeoff between sensitivity to Ge% variations between layers in a stack (which is aided by thicker layers due to larger scattering volume) and the fraction of incident light that reaches the bottom of the stack and then reflected back out is shown schematically in Figure 6.

Screen Shot 2016-08-17 at 9.13.59 AM
Figure 6. As the difference in Ge% between adjacent layers increases, the resolution of optical metrology for both thickness and Ge% between layers increases.

Based on this tradeoff, the path to improved metrology resolution therefore lies not just in high S/N but also high photon flux. While lasers can provide this combination, they are monochromatic and therefore lack the information space to measure multiple film stacks, let alone sensitivity to both thickness and Ge%. Broadband (typically 240 – 900nm) spectroscopic ellipsometry has been the workhorse metrology technology for SiGe stack measurements. However, there has usually been a tradeoff in photon flux and S/N for broadband light sources compared to laser-based SWE due to the physics of blackbody-type radiative sources such as Xe arc lamps, which require high plasma temperatures to shift the peak photon flux into the useful 190-400nm wavelength range. Increasing the plasma temperatures in an arc lamp would require substantially higher current that would degrade the electrodes and lamp housing (due to electrode sputtering) and therefore have limited headroom for improvement. A new class of broadband light sources that use lasers rather than direct electrical current to sustain a radiative plasma have achieved the higher plasma temperatures (>11,000K) required to shift the peak photon flux to the 240-400nm wavelength range [1]. Since these light sources do not require current to sustain the plasma, there is no cathode sputtering. This type of laser-sustained plasma source (LSPS) has been used successfully for optical CD metrology tools in the past, details of which have been published in [1]. Figure 7 shows a comparison of the photon flux between a Xe arc lamp and 2 different generations of LSPS.

Screen Shot 2016-08-17 at 9.15.39 AM
Figure 7. LSPS lamps show several times greater photon flux than Xe arc lamp in the 190 – 300nm λ range. LSPS – V1 and LSPS – V2 represent an older and newer generation of LSPS lamps respectively.

Figure 8 shows the benefit of the higher photon flux from LSPS vs Xe arc lamps in terms of their impact on SiGe stack measurement performance. The SiGe stack in this case was a Si cap on a SiGe “main” layer with graded Ge% in turn deposited on a “buffer” SiGe layer (with a different Ge% from the main layer). Measurement precision of the buffer layer thickness and Ge% is limited by the photon flux since it rests under a few hundred Å of Si/SiGe that absorb much of the incident light. For this case, we observe that the LSPS-V1 light source enabled marked improvement (vs the Xe arc lamp) in precision for both thickness and Ge% measurement in almost all cases.

Figure 8 shows the benefit of the higher photon flux from LSPS vs Xe arc lamps in terms of their impact on SiGe stack measurement performance. The SiGe stack in this case was a Si cap on a SiGe “main” layer with graded Ge% in turn deposited on a “buffer” SiGe layer (with a different Ge% from the main layer). Measurement precision of the buffer layer thickness and Ge% is limited by the photon flux since it rests under a few hundred Å of Si/SiGe that absorb much of the incident light. For this case, we observe that the LSPS-V1 light source enabled marked improvement (vs the Xe arc lamp) in precision for both thickness and Ge% measurement in almost all cases.

Screen Shot 2016-08-17 at 9.16.56 AM
Figure 8. Broadband SE using LSPS shows improved precision vs Xe arc lamp for thickness and Ge% measurement for various process splits of SiGe thickness and Ge%.

Once the systematic errors (such as offset, non-linearity, etc) have been reduced substantially by appropriate calibrations, the site-by-site matching of 2 tools measurement results is precision-limited. The improvement in precision even between different generations of LSPS (V2 is more powerful than V1, as shown in Figure 7) is evident in the improved site-by-site matching of tools powered by LSPS-V2 compared to tools powered by LSPS-V1 as shown in Figure 9. The benefit of improved site-by-site matching is the ability to monitor film thickness/Ge% signature variation from wafer to wafer, which can in turn lead to finer level of process control.

Screen Shot 2016-08-17 at 9.18.19 AM
Figure 9. 13 sites on a SiGe wafer were measured on 4 different tools: Tool A, B equipped with LSPS-V1 and another pair of Tools A, B equipped with LSPS-V2. It is evident that results between Tools A & B are more closely matched when equipped with LSPS-V2.

5.2 Multiple Channels
Gate module: Controlling the thickness, composition, interfacial quality, and defects of each film in a high-k, metal gate (HKMG) stack is critical to transistor performance. Whereas the diffusion module handles the IL and HK deposition, the subsequent metal layer deposition can substantially alter the properties of the underlying IL and HK layer due to thermal processing impact. As the device performance requirements become inexorably more stringent at the 10nm node and beyond, so does the process window for these critical layers.

Whereas in older nodes it was possible to assume that IL and HK thickness and composition were relatively unchanged by the metal gate deposition (due to the larger process window), it is no longer the case. Therefore, metrology feedback is required for each layer in the complete HKMG stack – it is not sufficient to measure IL and HK thickness in the diffusion module and then “feed forward” those measurement results to the gate module when the metal gate layers (overlying the HK, IL layers) are to be measured. In the past, this data “feed forward” (DFF) of previous measurement step data was done because it was an acceptable tradeoff between accuracy and precision: fixing the IL and HK thickness in the HKMG stack broke the correlations in the signal space (due to diffraction from multiple layers), thus enabling good precision for the metal gate layers. The requirement now is to avoid the tradeoff between metrology accuracy and precision, which entails new techniques to break the correlations from multiple layers. This lesson has already been learned in the optical CD world, and the same solution concept applies for films metrology: combining multiple unique and matched signal channels narrows the range of possible solutions and therefore breaks correlations. In doing so, not only is precision improved, so is accuracy. For example, using multiple angles of incidence (AOI) in spectroscopic ellipsometry can reduce the error between modeled and measured spectra by eliminating multiple “local minima” in the parameter space regression.

Figure 10 shows the accuracy benefit of using 3-AOI instead of 1-AOI for measurement of IL and HK layers. X-ray photoelectron spectroscopy (XPS) is used as a reference metrology due to its intrinsic high sensitivity to ultra-thin layers and accuracy deriving from first-principles physics of x-ray interaction with solids. The drawback of XPS is that it is slower than ellipsometry measurements, and the sensitivity to the IL and HK layers drops off once the metal gate layers are deposited on them due the limited escape depth (<70Å) for photoelectrons. Therefore, an SE solution is still required. The tradeoff with 1-AOI SE metrology is that its correlation to the XPS reference data for the initial IL and HK thickness was relatively limited (only held across large thickness ranges). With 3-AOI, this correlation is dramatically improved.

Screen Shot 2016-08-17 at 9.20.04 AM
Figure 10: Accuracy assessment: Use of 3 angles of incidence (3-AOI) instead of 1 for the spectroscopic ellipsometry measurement of the HK and IL layer show significant improvement in correlation to reference. HK & IL thickness floated in single SE measurement.

The benefit of improved precision using 3-AOI with either rotating polarizer (RP) or rotating polarizer + rotating compensator (RPRC) is shown in Figures 11 and 12 below.

Screen Shot 2016-08-17 at 9.21.06 AM
Figure 11. Accuracy assessment: Use of RPRC instead of RPSE measurement post MG1 deposition on top of HKIL layers show high correlation to reference which otherwise is not possible to measure individual layers independently using RPSE.

Screen Shot 2016-08-17 at 9.21.15 AM
Figure 12. The precision (expressed as a % of the nominal thickness) for each layer in the HKMG stack is seen to improve when using 3-AOI and/or RPRC.

5.3 Novel Metrology Solutions
5.3.1 Films on Gratings (FOG)
The results described here are categorized by the standard metrics used to evaluate films metrology capability: (a) short term dynamic repeatability (STDR) – equivalent to precision with unloading/loading the wafer between measurements (b) fleet matching precision (FMP) – combines elements of tool precision and matching between tools, details published in [4] (c) design of experiments (DOE) tracking – check if the film measurement corresponds to expectation from programmed process change.

(a) It is evident from the data shown in Figure 13 that new algorithms to model film stacks on topography are required to fully extract the sensitivity from the various signal acquisition channels. The STDR for the HK layer of 0.04Å enables control of the HK ALD process to within 0.4Å assuming a 10% metrology budget and that a single metrology tool can be dedicated to controlling the process. However, a realistic scenario would require a fleet of matched metrology tools to be available to support process control. FMP is a metric that evaluates this capability.

Screen Shot 2016-08-17 at 9.23.50 AM
Figure 13. Comparison of STDR for various combinations of acquisition channels using conventional optical CD methodology and a new algorithm that incorporates elements of films modeling and new advances

(b) The data shown in Figure 14 reveals a strong FMP benefit by combining a reflectometer measurement to the ellipsometer data. In this case, the reflectometer is a true normal incidence (no ray obscuration in the incident or reflected beam paths) spectroscopic reflectometer that uses an identical LSPS light source as the ellipsometer, thus enabling very high sensitivity. It is observed that the FMP for the HK layer, while in the sub-Å range for the film thickness, is still quite large compared to the STDR and essentially consumes the process budget of 0.1-0.3Å that may be considered acceptable. However, it is a notable improvement over the typical optical CD FMP levels of 0.1-0.3nm. The IL layer FMP of 0.17Å represents ~20% of the process budget, which is reasonable given the nature of the metrology challenge and the lack of viable alternatives. However, the work described here is of a highly preliminary nature and much investigative effort lies ahead that can yield significant FMP improvements. It is notable in the FMP case also that algorithm improvements are needed to fully capture the benefits of multiple acquisition channels.

Screen Shot 2016-08-17 at 9.24.13 AM
Figure 14. Comparison of FMP for various combinations of acquisition channels using conventional optical CD methodology and a new algorithm that incorporates elements of films modeling and new advances

(c) From Figure 12, it is apparent that the nominal process is reproducible within the metrology STDR limit.

Screen Shot 2016-08-17 at 9.25.57 AM
Figure 15. Comparison between measured thickness values and expected values from programmed process changes in the film deposition. Values have been normalized to 0 for the reference wafer and process condition.

It is also observed from Figure 15 that the films metrology tracks the process in the correct direction, but the measured value is larger than the expected value from the process modeling standpoint. Whether this is due to metrology-induced offsets or systematic errors in the process modeling is unclear, since there exists no reference metrology with sufficient resolution to determine this conclusively. It is encouraging that the measured thickness uniformity across the wafer is within the expected limits. However, the major source of error can be determined by conducting similar ANOVA split tests across multiple process chambers and metrology tools. This is planned for future work, and the learning can be used to fine-tune the metrology model if needed.

5.3.2 Films on Gratings (FOG)
Figure 16 shows the result of our bandgap measurement on the same HKMG stack shown in Figure 2. The distinct across wafer signatures and within-wafer (WiW) ranges for bandgap vs HK and MG film thickness shows that the bandgap values are impacted by factors besides film thickness. This is demonstrated in Figure 17, which shows the impact of both thickness and post-deposition anneal (PDA) on the band-gap. It is observed that band-gap varies in response to a PDA increase by an amount comparable to that of a HK thickness change. This is significant, because annealing excursions may not be captured in film thickness measurements.

To be noted is that we have measured the bandgap of the HK material through the metal gate stack. Typically bandgap measurements by spectroscopic ellipsometry are highly impacted by noise as depicted conceptually in Figure 18. The use of LSPS greatly improves the S/N in the 190-210nm region that corresponds to the bandgap eV range where HK dielectrics operate (see Figure 19), which permits average band-gap precision on the order of 0.0001eV. This capability is especially useful since x-ray technologies cannot measure HK bandgap because they probe energy ranges far in excess of the bandgap of any material, rather they probe the core electron states of materials. We are in the process of investigating the correlation of in-line bandgap measurements to device performance for similar production wafers.

Screen Shot 2016-08-17 at 9.27.14 AM
Figure 16. The distinct across wafer signatures and within-wafer (WiW) ranges for bandgap vs HK and MG film thickness shows that bandgap value are impacted by factors besides film thickness.

Screen Shot 2016-08-17 at 9.28.30 AM
Figure 17. Change in measured band-gap in response to process variation. PDA+ refers to increased post deposition annealing temperature.

Screen Shot 2016-08-17 at 9.28.37 AM
Figure 18. Bandgap of a material is estimated by the tangent to the ε2 (obtained from dispersion) vs photon energy curve at the inflection point. The noisier the data, the more tangent solutions would be possible, thereby increasing inaccuracy.

Screen Shot 2016-08-17 at 9.28.46 AM
Figure 19. The very high photon flux of LSPS (represented in multiples of Xe arc lamp photon flux) at wavelengths corresponding to the HK band-gap range enables single tool average precision for band-gap of 0.0001eV and fleet matching precision of 0.002eV.

6. CONCLUSIONS
In addition to the usual tightening of process windows, the move to 10nm node and beyond brings significant paradigm shifts in the films process control space. Key among these are the need to measure film thickness on topography mimicking the actual device, and leverage gate dielectric bandgap information to better control thermal process budgets and predict device performance. We have demonstrated that significant advances in the metrology space have been made to keep pace with these new demands: (i) laser-based single wavelength ellipsometers for best possible sensitivity to ultra-thin single-layer dielectrics (ii) laser-sustained plasma source (LSPS) for significantly greater photon flux in the useful deep UV wavelength range that enables both thickness and bandgap measurement (iii) the use of combinations of unique and matched optical signal acquisition channels to break correlations in complex multi-layer stacks deposited on planar and topographic surfaces (iv) the need for algorithm improvements to capture the sensitivity enhancements afforded by all the aforementioned hardware improvements and innovations.

7. REFERENCES
[1] Derrick Shaughnessy, Shankar Krishnan, Lanhua Wei, Andrei V. Shchegrov., “Enhancing scatterometry CD signal-to-noise ratio for 1x logic and memory challenges,” Proc. SPIE 8681, Metrology, Inspection, and Process Control for Microlithography XXVII, 86810V (10 April 2013)
[2] M. Sendelbach, A. Vaid, P. Herrera, T. Dziura, M. Zhang, and A.Srivatsa, “Use of multiple azimuthal angles to enable advanced scatterometry applications,” Metrology, Inspection, and Process Controlfor Microlithography XXIV, Proc. of SPIE, vol. 7638, 76381G, 2010.
[3] Dhairya J Dixit., “Optical Metrology for Directed Self-assembly Patterning Using Mueller Matrix Spectroscopic Ellipsometry Based Scatterometry,” Diss. STATE UNIVERSITY OF NEW YORK AT ALBANY, 2015
[4] Roll, G., [Leakage Current and Defect Characterization of Short Channel MOSFETs, Logos Verlag Berlin Gmbh Publishers, Berlin, 11 – 18 (2012)
[5] Eric Solecky, Chas Archie, Bill Banke., “New comprehensive metrics and methodology for metrology tool fleet matching,” Proc. SPIE 5752, Metrology, Inspection, and Process Control for Microlithography XIX, 248 (June 21, 2005)

This paper was originally published as part of SPIE proceedings March 21, 2016.



Leave a Reply


(Note: This name will be displayed publicly)