Survey: Optimism Up For Multi-beam

The eBeam Initiative announced the completion of its third annual survey.

popularity

The eBeam Initiative announced the completion of its third annual survey.

In one of the highlights of the survey, a majority (58%) of respondents predict that multi-beam technology will be used in production by 2016 to address the critical problem of mask write times as the industry moves to smaller geometries.

And according to the survey, skepticism of EUV lithography also increased compared to last year’s survey, with 60% of respondents believing EUV lithography would not be used in high-volume manufacturing for system-on-chip (SoC) devices until 2017 or beyond. Some 35% indicated that EUV lithography would never be used for SoCs-up from 55% and 22%, respectively, in last year’s survey.

There are other findings as well. “For example, the survey results from this year show that dose modulation will be a standard practice, that multibeam-based mask writing is around the corner, and that complex mask shapes are needed,” said Aki Fujimura, CEO of D2S, the managing company sponsor of the eBeam Initiative.

Here are other select highlights from eBeam Initiative Survey:

*Support for multibeam for mask volume production is extremely high beyond 2016, with an overwhelming 88% of respondents predicting its use for mask production by 2018.

*When asked if the emergence of multibeam technology will propel the industry to adopt more complex mask shapes, a majority (60%) of respondents agreed for critical-layer 193-nm immersion masks.

*Survey participants unanimously agreed that dose modulation would be required for mask writing by 2016. Industry luminaries predict that slower resists will be used by 2016 for high-volume mask production.

*Mask hotspots, which are wafer-level production issues that are caused when the shapes specified by optical proximity correction (OPC) are not faithfully reproduced on the mask on a per-instance basis, are a significant problem in semiconductor manufacturing at the 28-nm and 20-nm nodes, according to half of all survey respondents-representing an increase over last year.

Some 52 industry luminaries representing 29 member companies from across the photomask supply chain participated in the survey. The complete results of the survey will be presented and discussed by an expert panel today during the eBeam Initiative’s annual members meeting at the SPIE Photomask Technology Conference in Monterey, Calif., and are available for download here.

The eBeam Initiative provides a forum for educational and promotional activities regarding new semiconductor manufacturing approaches based on electron beam (eBeam) technologies. The goals of the Initiative are to reduce the barriers to adoption to enable more integrated circuit (IC) design starts and faster time-to-market while increasing the investment in eBeam technologies throughout the semiconductor ecosystem.



Leave a Reply


(Note: This name will be displayed publicly)