Finding Defects In EUV Masks


Extreme ultraviolet (EUV) lithography is finally in production at advanced nodes, but there are still several challenges with the technology, such as EUV mask defects. Defects are unwanted deviations in chips, which can impact yield and performance. They can crop up during the chip manufacturing process, including the production of a mask or photomask, sometimes called a reticle. Fortunately... » read more

Multi-Beam Mask Writing Finally Comes Of Age


Elmar Platzgummer, chief executive of IMS Nanofabrication, sat down with Semiconductor Engineering to discuss photomask and mask writing trends. IMS, a subsidiary of Intel, is a supplier of multi-beam e-beam systems for photomask production. What follows are excerpts of that conversation. SE: For years, photomask makers have used single-beam e-beam tools to pattern or write the features on ... » read more

Wanted: Mask Equipment for Mature Nodes


Rising demand for chips at mature nodes is impacting the photomask supply chain, causing huge demand for trailing-edge masks and a shortfall of older mask equipment. The big issue is the equipment shortfall, which could impact customers on several fronts. Tool shortages could lead to longer mask turnaround times and delivery schedules for chips being developed at 90nm and above, which are bu... » read more

The Week In Review: Manufacturing


Chipmakers Samsung has formed a new foundry division and rolled out a range of new processes. Specifically, Samsung plans to develop 8nm, 7nm, 6nm, 5nm and 4nm. It also introduced an 18nm FD-SOI technology. GlobalFoundries has provided more details about its 300mm fab plans in China. The company and the Chengdu municipality have announced an investment to develop an ecosystem for its 22nm ... » read more

More EUV Mask Gaps


Extreme ultraviolet (EUV) lithography is at a critical juncture. After several delays and glitches, [gettech id="31045" comment="EUV"] is now targeted for 7nm and/or 5nm. But there are still a number of technologies that must come together before EUV is inserted into mass production. And if the pieces don’t fall into place, EUV could slip again. First, the EUV source must generate more ... » read more

Speeding Up Mask Production


Chip production is becoming more complex and expensive at each node. As a result, chipmakers require a growing number of new manufacturing technologies to enable the next wave of devices at advanced nodes. In the fab, for example, the most obvious need is extreme ultraviolet ([gettech id="31045" comment="EUV"]) lithography. In addition, chipmakers also need a new class of atomic-level proces... » read more

The Week In Review: Manufacturing


MEMS manufacturing A*STAR’s Institute of Microelectronics (IME) in Singapore has launched its third consortium to develop MEMS technologies. This would allow MEMS sensor devices to achieve better performance, higher power efficiency and a smaller form factor. The MEMS Consortium III consists of the following companies: Applied Materials, Coventor, Delta Electronics, GlobalFoundries, InvenS... » read more

Multi-Beam Market Heats Up


The multi-beam e-beam mask writer business is heating up, as Intel and NuFlare have separately entered the emerging market. In one surprising move, [getentity id="22846" e_name="Intel"] is in the process of acquiring IMS Nanofabrication, a [gettech id="31058" t_name="multi-beam e-beam"] equipment vendor. And separately, e-beam giant NuFlare recently disclosed its new multi-beam mask writer t... » read more

The Week In Review: Manufacturing


In a surprising move, Intel is quietly in the process of acquiring IMS Nanofabrication, a developer of multi-beam e-beam tools for mask writing applications, Semiconductor Engineering has learned. With the deal, Intel is moving into uncharted territory by buying a semiconductor equipment company. In the past, though, the chip giant has invested in equipment vendors, such as ASML, Nikon and... » read more

Survey: Mask Complexity To Increase


The eBeam Initiative today released its annual members’ perceptions survey, a set of results that reveals some new and surprising data about EUV, multi-beam and photomask technology. As part of the results in the new survey, there is a growing level of optimism for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing, as compared to last year’s results... » read more

← Older posts Newer posts →