Week In Review: Semiconductor Manufacturing, Test


The CHIPS for America team at the U.S. Department of Commerce named the selection committee who will select board members for the nonprofit entity that will likely be managing the National Semiconductor Technology Center (NSTC). Members include John Hennessy, chairman of Alphabet; Jason Matheny, president and CEO of the RAND Corporation; Don Rosenberg, fellow in residence at UCSD’s School of ... » read more

Gearing Up For High-NA EUV


The semiconductor industry is moving full speed ahead to develop high-NA EUV, but bringing up this next generation lithography system and the associated infrastructure remains a monumental and expensive task. ASML has been developing its high-numerical aperture (high-NA) EUV lithography line for some time. Basically, high-NA EUV scanners are the follow-on to today’s EUV lithography systems... » read more

Mixed Picture Seen For EUV Masks


The confidence level of extreme ultraviolet (EUV) lithography continues to grow as the technology moves into production, but the EUV mask infrastructure remains a mixed picture, according to new surveys released by the eBeam Initiative. The EUV mask infrastructure involves several technologies that are in various stages of development. On one front, the outlook for several mask tool technol... » read more

Week In Review: Manufacturing, Test


Trade Trade tensions between the United States and China continue. The U.S. last year slapped a 10% tariff on $200 billion worth of Chinese goods. China retaliated with a 10% tariff on $60 billion of U.S. imports. The U.S. said it wants to increase the tariffs on Chinese goods to 25%, but that action has been postponed. This was the week that the U.S. was supposed to raise tariffs by 25%. I... » read more

Multi-Beam Mask Writing Finally Comes Of Age


Elmar Platzgummer, chief executive of IMS Nanofabrication, sat down with Semiconductor Engineering to discuss photomask and mask writing trends. IMS, a subsidiary of Intel, is a supplier of multi-beam e-beam systems for photomask production. What follows are excerpts of that conversation. SE: For years, photomask makers have used single-beam e-beam tools to pattern or write the features on ... » read more

Will Fab Tool Boom Cycle Last?


Fab equipment spending is on pace for a record year in 2017, and it now appears that momentum could continue into 2018. Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in [getkc id="208" comment="3D NAND"] and, to a lesser degree, [getkc id="93" kc_name="DRAM"]. In the logic/foundry business, however, equipment deman... » read more

Battling Fab Cycle Times


The shift from planar devices to finFETs enables chipmakers to scale their processes and devices from 16nm/14nm and beyond, but the industry faces several challenges at each node. Cost and technical issues are the obvious challenges. In addition, cycle time—a key but less publicized part of the chip-scaling equation—also is increasing at every turn, creating more angst for chipmakers and... » read more

Inside Photomask Writing


Hirokazu Yamada, a board member and the director of the Mask Lithography Division of NuFlare, sat down with Semiconductor Engineering to discuss photomask technology, e-beam mask writer trends and other topics. NuFlare is the world’s largest supplier of e-beam mask writers. What follows are excerpts of that conversation. SE: How does the [getkc id="265" kc_name="photomask"] market look in... » read more

Fab Tool Biz Faces Challenges In 2017


After experiencing a gradual recovery and positive growth in 2016, the semiconductor equipment industry sees a mixed picture as well as some uncertainty in 2017. In the near term, though, business is robust. Several chipmakers started to place a sizeable number of fab tool orders in the latter part of 2016, particularly in three areas—3D NAND, logic and foundry. Now, after buying the in... » read more

More EUV Mask Gaps


Extreme ultraviolet (EUV) lithography is at a critical juncture. After several delays and glitches, [gettech id="31045" comment="EUV"] is now targeted for 7nm and/or 5nm. But there are still a number of technologies that must come together before EUV is inserted into mass production. And if the pieces don’t fall into place, EUV could slip again. First, the EUV source must generate more ... » read more

← Older posts