What Happened To Inverse Lithography?


Nearly 10 years ago, the industry rolled out a potentially disruptive technique called inverse lithography technology (ILT). But ILT was ahead of its time, causing the industry to push out the technology and relegate it to niche-oriented applications. Today, though, ILT is getting new attention as the semiconductor industry pushes toward 7nm, and perhaps beyond. ILT is not a next-generation ... » read more

Multi-Beam Market Heats Up


The multi-beam e-beam mask writer business is heating up, as Intel and NuFlare have separately entered the emerging market. In one surprising move, [getentity id="22846" e_name="Intel"] is in the process of acquiring IMS Nanofabrication, a [gettech id="31058" t_name="multi-beam e-beam"] equipment vendor. And separately, e-beam giant NuFlare recently disclosed its new multi-beam mask writer t... » read more

The Week In Review: Manufacturing


In a surprising move, Intel is quietly in the process of acquiring IMS Nanofabrication, a developer of multi-beam e-beam tools for mask writing applications, Semiconductor Engineering has learned. With the deal, Intel is moving into uncharted territory by buying a semiconductor equipment company. In the past, though, the chip giant has invested in equipment vendors, such as ASML, Nikon and... » read more

5 Disruptive Mask Technologies


Photomask complexity and costs are increasing at each node, thereby creating a number of challenges on several fronts. On one front, for example, traditional single-beam e-beam tools are struggling to keep up with mask complexity. As a result, the write times and costs continue to rise. Mask complexity also impacts the other parts of the tool flow, such as inspection, metrology and repair. I... » read more

Executive Insight: Elmar Platzgummer


Semiconductor Engineering sat down to discuss photomask and lithography trends with Elmar Platzgummer, chief executive of IMS Nanofabrication, an Austrian-based supplier of multi-beam e-beam tools for mask writing applications. SE: IMS has shipped the world’s first multi-beam e-beam system. Initially targeted for photomask writing, the tools are currently being tested in the field. How lon... » read more

Challenges Mount For EUV Masks


Five years ago, Intel urged the industry to invest millions of dollars in the photomask infrastructure to help enable extreme ultraviolet ([gettech id="31045" comment="EUV"]) lithography. At the time, there were noticeable gaps in EUV, namely defect-free masks and inspection tools. To date, however, Intel’s call to action has produced mixed results. The photomask industry is making progr... » read more

Multi-beam Sees The Light


The multiple-beam electron-beam market is going in two separate directions at once. Multi-beam for photomask writing is set to take off. The other market--multi-beam for direct-write lithography applications—is still in the early stages and remains in flux. In the multi-beam direct-write segment, for example, multiple sources indicate that KLA-Tencor is exiting this market to focus on its ... » read more

Multi-Beam Begins To Shine


After years of R&D and promises, multi-beam electron-beam technology is delayed and late to the market. The technology requires more funding and work than previously thought. And generally, the skepticism is running high for the technology. Finally, however, there is a ray of hope, and some momentum, in multi-beam—at least on the photomask front. Seeking to accelerate its multi-beam te... » read more

The Week In Review: Sept. 9


By Mark LaPedus SK Hynix’ DRAM fab in China caught on fire. The fire caused one minor injury, but it did not impact the equipment, according to reports. SK Hynix will re-open the fab soon, according to reports. Bob Halliday, Applied Materials’ CFO, gave a presentation at an analyst event, saying: “I think there’s probably more technology inflections going on right now than in years.... » read more

Beam Me Up


By Mark LaPedus For years, electron-beam tools have been struggling to keep up with photomask complexity, causing an alarming increase in write times and mask production costs. Intel and others recently warned that e-beams soon could reach their fundamental limits, thereby requiring the need for new solutions. And in the multiple patterning era, mask makers could see their capital costs soa... » read more

Newer posts →