Inside Lithography And Masks


Semiconductor Engineering sat down to discuss lithography and photomask technologies with Gregory McIntyre, director of the Advanced Patterning Department at [getentity id="22217" e_name="Imec"]; Harry Levinson, senior fellow and senior director of technology research at [getentity id="22819" comment="GlobalFoundries"]; David Fried, chief technology officer at [getentity id="22210" e_name="Cove... » read more

The Week In Review: Manufacturing


Chipmakers Toshiba’s problems have gone from bad to worse. “Toshiba postponed its earnings call by up to one month, and the chairman resigned. The provisional results show large losses in its nuclear power business, while the NAND operations remain very profitable,” said Weston Twigg, an analyst with Pacific Crest Securities, in a research note. “The next few months appear very uncerta... » read more

Deploying Multi-Beam Mask Writers


Elmar Platzgummer, chief executive of IMS Nanofabrication, sat down with Semiconductor Engineering to discuss the company’s deal with Intel, photomasks, multi-beam mask writer technology and other topics. What follows are excerpts of that conversation. SE: This has been a significant year for IMS for two reasons. First, Intel recently announced plans to acquire IMS. Second, at the recent ... » read more

Mask Maker Worries Grow


Leading-edge photomask makers face a multitude of challenges as they migrate from the 14nm node and beyond. Mask making is becoming more challenging and expensive at each node on at least two fronts. On one front, mask makers must continue to invest in the development of traditional optical masks at advanced nodes. On another front, several photomask vendors are preparing for the possible ra... » read more

Executive Insight: Aki Fujimura


Aki Fujimura, chief executive of D2S, sat down with Semiconductor Engineering to look at the key issues in lithography and photomasks, as well as the changes taking place in the IC industry. What follows are excerpts of that conversation. SE: The semiconductor market is changing on several fronts. On one front, there is a wave of consolidation in the industry. And then there is a slowdown in... » read more

Where Is Next-Gen Lithography?


Semiconductor Engineering sat down to discuss lithography and photomask technologies with Greg McIntyre, director of the Advanced Patterning Department at Imec; Harry Levinson, senior fellow and senior director of technology research at GlobalFoundries; Uday Mitra, vice president and head of strategy and marketing for the Etch Business Unit and Patterning Module at Applied Materials; Naoya Haya... » read more

The Week In Review: Manufacturing


Chipmakers In a blog, GlobalFoundries discussed the global push towards 5G or fifth-generation wireless networks. Samsung Electronics Australia has announced the creation of brainBAND, a wearable technology designed to facilitate research into concussions in sports. In the prototype, a headband houses sensors at the back of the head that measure the force of an impact. This information wou... » read more

Manufacturing Bits: March 15


More multi-beam The multi-beam e-beam market is a hot topic. For example, Intel is quietly in the process of acquiring IMS Nanofabrication, a developer of multi-beam e-beam tools for mask writing applications. Meanwhile, at the recent SPIE Advanced Lithography conference, Mapper Lithography disclosed new upgrades for its multi-beam e-beam tool for use in direct-write lithography application... » read more

5nm Fab Challenges


At a recent event, Intel presented a paper that generated sparks and fueled speculation regarding the future direction of the leading-edge IC industry. The company described a next-generation transistor called the nanowire FET, which is a finFET turned on its side with a gate wrapped around it. Intel’s nanowire FET, sometimes called a gate-all-around FET, is said to meet the device require... » read more

Gaps Remain For EUV Masks


Extreme ultraviolet (EUV) lithography is once again at a critical juncture. The oft-delayed technology is now being targeted for 7nm. But there are still a number of technologies that must come together before EUV is inserted into mass production at that node. First, the EUV source must generate more power. Second, tool uptime must improve. Third, the industry needs better EUV resists. A... » read more

← Older posts Newer posts →