Full Wafer OCD Metrology


Authored by: Daniel Doutt*a, Ping-ju Chena, Bhargava Ravooria, Tuyen K. Trana, Eitan Rothsteinb, Nir Kampelb, Lilach Tamamb, Effi Aboodyb, Avron Gerb, Harindra Vedalac ABSTRACT Optical Critical Dimension (OCD) spectroscopy is a reliable, non-destructive, and high-throughput measurement technique for metrology and process control that is widely used in semiconductor fabrication facilities (f... » read more

Detection Of Contaminants In Positive And Negative Ion Mode Using In-line SIMS With An Oxygen Primary Ion Beam


Utilizing Secondary Ion Mass Spectrometry (SIMS) for in-line metrology is a newly emerging method of process control that requires contamination-free measurements, enabling SIMS on product wafers. SIMS measurements of negative ions are usually associated with a Cesium primary ion beam. Unfortunately, when Cesium is present in Silicon, it forms trap states in the Si band gap, which can cause ser... » read more

Week In Review: Semiconductor Manufacturing, Test


South Korea slashed chip production in February by 17.7% compared to the previous month — 41.8% year-over-year, and the sharpest drop since 2008 — according to figures from South Korea’s National Statistics Office. Inventories were up 33.5%, while exports dropped by 41.6%. China launched a security probe into U.S. memory chipmaker Micron in apparent retaliation for U.S. restrictions on... » read more

Week In Review: Manufacturing, Test


TEL announced plans to build a ¥2.2 billion ($168.2 million) production and logistics center at its Tohoku Office to increase capacity. Construction of the 57,000m² facility, which will be used for manufacturing thermal processing and single-wafer deposition systems, is slated to start in spring 2024, and expected to be completed in fall 2025. Toshiba's board voted in favor of a 2 trillio... » read more

Metrology Strategies For 2nm Processes


Metrology and wafer inspection processes are changing to keep up with evolving and new device applications. While fab floors still have plenty of OCD tools, ellipsometers, and CD-SEMs, new systems are taking on the increasingly 3D nature of structures and the new materials they incorporate. For instance, processes like hybrid bonding, 3D NAND flash devices, and nanosheet FETs are pushing the bo... » read more

A Journey Of Innovation


When Dr. Shay Wolfling, a physics expert, joined Nova as its CTO about 11 years ago, very little about the company was the same as it is today. Over the past decade, Nova has experienced tremendous growth, acquiring two companies, significantly increasing its revenue and employee count, and shifting its technology direction and product lines. Yet, one constant remains: commitment to innovation ... » read more

Epi SiGe Application Using METRION In-Line SIMS System


The epitaxial process is a well-established deposition technique in semiconductor fabrication because it enables the ability to achieve much higher doping concentrations than can be obtained via ion implantation. As we move toward <5nm technology, a key process for enabling gate-all-around FET (GAAFET) is the stacked multi-lattice of Silicon (Si) and Silicon-germanium (SiGe) epi process for ... » read more

Ion Implantation Applications For In-Line SIMS Metrology


By Wei Ti Lee, Sarah Okada, Lawrence Rooney, Feng Zhang, and Benjamin Hickey In the semiconductor industry, ion implantation process has expanded to a wide range of applications with doses and energies spanning several orders of magnitude. Ion implantation is a very complicated process with many parameters and factors that affect the implant profile. For example, shadowing effects from high... » read more

Ion Implantation Applications For In-Line SIMS Metrology


In the semiconductor industry, ion implantation process has expanded to a wide range of applications with doses and energies spanning several orders of magnitude. Ion implantation is a very complicated process with many parameters and factors that affect the implant profile. For example, shadowing effects from higher aspect ratio of photoresist opening, ion channeling or de-channeling effect... » read more

Bump Reliability is Challenged By Latent Defects


Thermal stress is a well-known problem in advanced packaging, along with the challenges of mechanical stress. Both are exacerbated by heterogenous integration, which often requires mingling materials with incompatible coefficients of thermal expansion (CTE). Effects are already showing up and will likely only get worse as package densities increase beyond 1,000 bumps per chip. “You comb... » read more

← Older posts