Why Curvy Design Now? Manufacturing Is Possible And Scaling Needs It


Have you ever seen roots or tree branches take a 90-degree turn? Have you ever seen a river that takes a 90-degree turn? Nature doesn’t do 90-degree turns, or for that matter any sharp angle turns – not even 135 degrees. Yet the entire chip-design infrastructure is based on the Manhattan assumption of 90-degree turns. While it would take time to change, is there any doubt that a curvilinea... » read more

Multi-Beam Writers Are Driving EUV Mask Development


By Jan Hendrik Peters (bmbg consult) and Ines Stolberg (Vistec Electron Beam) The European Mask and Lithography Conference (EMLC) 2023, held in Dresden this past June, was attended by about 180 people and over 60 talks and posters were presented. With several keynote and invited talks over two and a half days, the conference gave an overview of the semiconductor and technology landscape in E... » read more

Particle Removal From EUV Photomasks


This technical paper titled "AFM-Based Hamaker Constant Determination with Blind Tip Reconstruction" was just published by researchers at ASML, RWTH Aachen University, and AMO GmbH. The research reports a vaccuum AFM-based approach for particle removal from EUV photomasks. Find the technical paper here. Published August 2022. Ku, B., van de Wetering, F., Bolten, J., Stel, B., van de K... » read more

Curvilinear Photomasks Can Be Made Today


Multi-beam mask writers (MBMWs) and GPU-accelerated curvilinear ILT are enabling curvilinear photomasks to be made today. Despite the benefits of improved process windows, curvilinear photomask adoption is slow. Industry luminaries surveyed by the eBeam Initiative in 2021 ranked photomask inspection and infrastructure as the top barriers to adoption, as shown in figure 1. Yet only 4% say the b... » read more

Mask And Metrology Technology Trends


Aselta Nanographics of Grenoble, France, which produces software for wafer and mask patterning based on e-beam technology for IC manufacturing, along with advanced metrology solutions for scanning electron microscopes, recently became an ESD Alliance member. Adding to its impressive credentials, Aselta is a spin-off of CEA-Leti, the electronics and information technologies research institut... » read more

Source performance metrics for EUV mask inspection


Abstract "Rules are derived to obtain specifications on radiance, power, lifetime, and cleanliness of the source for an actinic patterned mask inspection system. We focus on the physical processes and technological aspects governing the requirements of radiation sources for reticle inspection. We discuss differences and similarities to scanner with respect to magnification, system etendue, a... » read more

Using Process Modeling To Enhance Device Uniformity During Self-Aligned Quadruple Patterning


Despite the growing interest in EUV lithography, self-aligned quadruple patterning (SAQP) still holds many technical advantages in pattern consistency, simplicity, and cost. This is particularly true for very simple and periodic patterns, such as line & space patterns or hole arrays. The biggest challenge of SAQP is the inherently asymmetric mask shape. This asymmetry can create structural ... » read more

Semiconductor Photomask Revenues Predicted To Increase In 2021


A majority (72%) of industry luminaries surveyed in July predict an increase in photomask revenues for 2021, as shown in figure 1. SEMI also predicts revenues to increase around 9% from $4.4B in 2020 to $4.8B in 2021. In a 12-minute video, a panel of experts share their perspectives on what’s behind the growth trend, how the pandemic has impacted the photomask industry, and how it compares to... » read more

Why Mask Blanks Are Critical


Geoff Akiki, president of Hoya LSI at the Hoya Group, sat down with Semiconductor Engineering to talk about optical and extreme ultraviolet (EUV) lithography as well as mask blanks. What follows are excerpts of that discussion. SE: Mask blanks are components that serve as the base or the substrate for a photomask. Why are they critical? Akiki: If you look at Hoya, we've been positioned as... » read more

Inverse lithography technology: 30 years from concept to practical, full-chip reality


Published in the Journal of Micro/Nanopatterning, Materials, and Metrology, Aug. 31, 2021. Read the full technical paper here (open access). Abstract In lithography, optical proximity and process bias/effects need to be corrected to achieve the best wafer print. Efforts to correct for these effects started with a simple bias, adding a hammer head in line-ends to prevent line-end shortening. T... » read more

← Older posts