Next-Gen Metrology: Searching For A Bright X-Ray Source


By Debra Vogler Metrology for semiconductor applications is a broad topic regardless of whether one is talking about front-end-of-line (FEOL) or back-end-of-line (BEOL) technologies. Benjamin Bunday, project manager, CD Metrology and senior member of the technical staff at SEMATECH, broke down the topic of next-generation metrology at 10nm and below into four main categories for SEMI: • I... » read more