The Week In Review: Manufacturing

Intel’s non-TSV play; ice bucket challenge; market outlook; loss in ATE world.

popularity

Intel announced two new technologies for foundry customers. One technology, dubbed Embedded Multi-die Interconnect Bridge (EMIB), is available to 14nm foundry customers. Instead of an expensive silicon interposer with TSVs, a small silicon bridge chip is embedded in the package, enabling high density die-to-die connections only where needed. EMIB eliminates the need for TSVs and specialized interposer silicon that add complexity and cost. Intel also announced the availability of its High Density Modular Test (HDMT) platform. HDMT, a combination of hardware and software modules, is Intel’s test technology platform.

Applied Materials Chairman Mike Splinter accepted the ALS ice bucket challenge. He used the dousing to recognize Applied’s employees for their contributions.

On CNBC, Martin Anstice, Lam Research’s CEO, shares his view of the demand for consumer electronics, including the state of tablets and PCs.

Lam Research announced that its board has approved a quarterly dividend of $0.18 per share of common stock.

Harry Levinson and John Dudley were announced as winners of top awards from SPIE, the international society for optics and photonics last week in San Diego. Levinson won the SPIE Directors’ Award for contributions to the society, the community, and the development of lithography and process control for semiconductor fabrication. He is manager of strategic lithography technology and a senior fellow at GlobalFoundries.

Haruo Matsuno, who stepped down as Advantest’s president this month due to health issues, has died after a brain hemorrhage. He was 54. Matsuno started his career with Advantest in 1984.

Cree, which specializes in making LEDs based on silicon carbide (SiC) technology, is expanding into the sapphire arena. Cree will make an investment in Lextar and the companies will enter into a supply agreement for sapphire-based LED chips. Cree will invest approximately $83 million to purchase 83 million Lextar shares at a price of NT$30 per share. Cree will own approximately 13% of Lextar.

Fairchild Semiconductor will eliminate its internal five-inch fabs and significantly reduce six-inch wafer fabrication lines, resulting in the closure of its manufacturing and assembly facilities in West Jordan, Utah and Penang, Malaysia, as well as the remaining five-inch wafer fabrication lines in Bucheon, South Korea.

According to International Data Corp., more than 1.25 billion smartphones will be shipped worldwide in 2014, representing a 23.8% increase from the 1.01 billion units shipped in 2013. Looking ahead, total volumes are forecast to reach 1.8 billion units in 2018, resulting in a 12.7% compound annual growth rate (CAGR) for the 2013–2018 forecast period.

Worldwide PC shipments are expected to fall by 3.7% in 2014, an improvement from the previous forecast of -6%, according to IDC. PC shipments in mature regions are now projected to grow by 5.6% in 2014 – the highest since 2010 – with both consumer and commercial segments showing positive growth. On the other hand, the outlook for emerging markets has been lowered.

According to IC Insights, the number of IDMs producing leading-edge logic devices has declined from 22 at the 130nm node to only two at 22nm/20nm. Many IDMs have embraced the foundries for their production. More recently, large Japanese IC makers—namely Toshiba, Renesas, Sony and Fujitsu—joined the fab-/asset-lite movement.



Leave a Reply


(Note: This name will be displayed publicly)