The Week In Review: Manufacturing

Semicon reporter’s notebook: Intel; FD-SOI; atoms; 2.5D.

popularity

Semicon West is always a busy week. Typically, there are a plethora of events going on during the week. It’s also a good week to get a pulse on the industry. The good news: Innovation is alive and well. Bad news: Intel cut its CapEx. And tool makers are in the midst of a lull right now, with a cloudy outlook projected for 2016. Some even see a dreaded downturn next year.

Pacific Crest Securities, for one, cut its worldwide semiconductor capital spending forecast. In early July, the firm predicted that CapEx would grow 3% in 2015 and another 3% in 2016. Now, the firm predicts that worldwide semiconductor capital spending will be up 1% in 2015 and 2% in 2016.

Meanwhile, I usually attend a multitude of technical sessions and press events at Semicon. This year was no different. Here’s some (but not all) of the events I attended and some of the more newsworthy announcements at the show:

Monday–July 13
As usual, Semicon West started off with a flurry of announcements. For example, GlobalFoundries took the wraps off its 22nm FD-SOI process, promising to extend Moore’s Law technologically without altering the economic equation—at least for the next couple of process nodes.

Then, Applied Materials rolled out a next-generation atomic layer deposition (ALD) tool and an etch system. Lam Research also brought out tools in the arena.

Also on Monday, I bounced back and forth from the Imec conference and Applied Materials’ analyst event. At Applied’s event, the company said it has been shipping a new and unannounced selective removal system. To date, there are 170 chambers in the field.

Regarding the state of Applied in general, Weston Twigg, an analyst at Pacific Crest Securities, made this observation about the company in a report: “Management looks energized. With a year and a half devoted to the Tokyo Electron merger, we have been concerned that senior management might be burned out or dejected after the deal fell through. However, we spoke with several members of the management team, including the CEO, and all seemed energized by the new product opportunities and the potential for share gain and TAM expansion.”

And if that wasn’t enough, China’s state-owned Tsinghua Unigroup has put in a bid to buy memory giant Micron Technology for $21 a share or $23 billion, according to the Wall Street Journal.

Tuesday–July 14
On Tuesday, I attended SEMI’s press event in the early morning. SEMI forecasts that the total semiconductor equipment market will grow 7% in 2015 (reaching $40.2 billion) and expand another 4% in 2016 to reach $41.8 billion. Surprisingly, the 200mm tool market remains robust. “We know the foundries are ramping up 200,” said Dan Tracy, an analyst with SEMI. “It’s a very active business.”

After the SEMI event, I attended Lam’s analyst event. “Lam Research sounded very good, with a bullish target model and compelling outlook,” Pacific Crest’s Twigg said in a separate report. “Lam Research expects that 3D NAND wafer starts per month of shipped capacity will be around 150,000 by the end of the year. By 2018, the company expects 3D NAND to represent greater than two-thirds of NAND installed capacity. We believe this is a moderate improvement over its forecast at the beginning of the year, and it could be evidence that Samsung orders for 3D NAND equipment are picking up.”

Then, for lunch, Soitec had an off-site event at a restaurant in San Francisco. At the event, Paul Boudre, chief executive of Soitec, said the company plans to expand its RF SOI capacity to meet booming demand. And he discussed the benefits of FD-SOI.

——————-

Then, in the afternoon, I interviewed officials from Advanced Micro Devices and Advanced Semiconductor Engineering (ASE). In an earlier session, AMD described Fiji, a recently introduced graphics chip based on a 2.5D stacked die technology.

The device, called the Radeon R9 Fury X GPU, comes in a graphics card. It takes gaming to the next level, boasting a 4096-bit high-bandwidth memory interface with 4K resolution. “It’s targeted for extreme gamers,” said Bryan Black, senior fellow for die stacking at AMD, in an interview.

The device itself consists of a GPU and 3D DRAMs, which are stacked on a 2.5D interposer. The GPU itself is based on a 28nm process. The 3D DRAMs are based on High Bandwidth Memory (HBM) technology. It delivers more than three times the performance per watt of GDDR5 in 94% less PCB surface area.

The 2.5D device itself consists of more than 65,000 TSVs and 200,000 interconnects, said Calvin Cheung, vice president of business development and engineering for ASE. As before, there are a plethora of challenges for 2.5D/3D devices in general, such as thermal issues, test and others, Cheung said.

Fiji took several years to complete. And it was an expensive project. To enable this product, AMD assembled an interesting supply chain. SK Hynix provides the 3D DRAMs based on HBM for Fiji. United Microelectronics Corp. (UMC) is doing the front-end TSV work and ASE provides the middle-end and backend assembly work.

—————–

Later in the day, I made my way to the Impress Labs PR event. I ended up talking to several companies. One company, Alchimer, announced that the company has been renamed aveni. Meanwhile, the company’s wet deposition technology is in the qualification phase for the dual-damascene process at the 10nm node. In addition, aveni has also secured $13.5 million in funding from a variety of sources, including Air Liquide Venture Capital, Idinvest Partners, CEA Investissement, Auriga Partners, Panasonic and others. In a statement, Bruno Morel, CEO of aveni, said: “We have the technology, partners and funding in place that make us ready for commercialization.”

Also at the Impress event, I chatted with Genmark. At Semicon, Genmark Automation announced the launch of its new CODEX Stocker. The CODEX Stocker integrates stocking, sorting and metrology functions in a single tool that stores and delivers various substrates on demand, of defined quality and in defined numbers. Consolidating the functions of these traditionally nonrevenue-generating tools frees up fab floor space for production equipment. Carl McMahon, executive vice president of sales and marketing at Genmark Automation, said: “Given the continual pressure to improve yield and productivity, the benefits of storing, measuring and tracking all media in one location, using less cleanroom floor space, quickly becomes evident.”

Unfortunately, I wasn’t able to attend KLA-Tencor’s analyst meeting Tuesday. There was a surprise, however. “KLA-Tencor sees long life for optical inspection, with a new platform ramping next year. KLA-Tencor is lowering the wavelength and increasing the optics to launch a litho-like next-generation defect inspection tool. This could breathe new life into its core defect inspection market, especially as the launch will likely coincide with the 10nm ramp late next year. KLA-Tencor expects revenue to begin in mid-2016 and to ramp quickly through the end of the year,” Pacific Crest’s Twigg said.

Wednesday–July 15
During the lithography session in the morning, Michael Lercel, director of product marketing at ASML, gave a presentation on litho. He gave an update on EUV, which is making steady progress. ASML also announced its results.

For tool makers, though, it was a gloomy day. First, TSMC posted lackluster results. Then, Intel cut its capital spending and delayed its 10nm rollout. “2015 capex guidance was reduced by $1B to $7.7B as PC volumes declined allowing for earlier reuse of equipment and due to the push out of 10nm startup costs,” said analyst Matthew Ramsay of Canaccord Genuity.

Later in the day, I visited EV Group. Some good news: CEA-Leti and EV Group launched a new program in nano-imprint lithography. The program, called Inspire, is designed to demonstrate nano-imprint’s cost-of-ownership benefits for a wide range of applications, such as photonics, plasmonics, lighting, photovoltaics, wafer-level optics and bio-technology. In a statement, Laurent Pain, patterning program manager in Leti’s Silicon Technologies Division, said: “Through Inspire, we will develop new ways for them to use this flexible, powerful nano-patterning technology to create new products for a wide range of applications.”

EV Group also unveiled Hercules, a new nano-imprint litho tool. The tool includes a fully integrated track system. It combines cleaning, resist coating and baking pre-processing steps. Applications include color and polarizer filters, light guiding plates, patterned sapphire substrates, LEDs and others, according to Paul Lindner, executive technology director at EV Group. “In addition, the Hercules NIL allows a wider array of applications, particularly in the fields of photonics and biotechnology, to finally leverage the cost-of-ownership and resolution benefits of NIL in volume production,” he said.

Then, I attended yet another event. The FD-SOI community held a press event in the early evening on GlobalFoundries’ 22nm FD-SOI technology.

Thursday–July 16
I attended a couple of technical sessions in the morning. By the way, SEMI announced that Stephen S. Schwartz, CEO of Brooks Automation, and Toshikazu Umatate, senior vice president and general manager of the Semiconductor Lithography Business at Nikon, were elected as new directors to the SEMI International Board of Directors in accordance with the association’s by-laws.



Leave a Reply


(Note: This name will be displayed publicly)