Next EUV Challenge: Mask Inspection

EUV lithography is making progress finally, but there are other related issues that still need to be solved.

popularity

Extreme ultraviolet (EUV) lithography is still not ready for prime time, but the technology finally is moving in the right direction.

The EUV light source, for example, is making progress after years of delays and setbacks. Now, amid a possible breakthrough in EUV, the industry is revisiting a nagging issue and asking a simple question: How do you inspect EUV photomasks?

EUV mask technology is critical for the commercialization of EUV. If a defect is undetected and remains on the mask, it will impact the final wafer. And the inability to find killer defects on the mask could be a showstopper for EUV.

In theory, there are several possible ways to inspect EUV masks. Add ASML Holding’s new EUV pellicle technology to the mix and the options become complicated.

Today, conventional optical-based reticle inspection tools are being used to inspect EUV masks. But at some point, optical could run out of steam, prompting the need for a next-generation technology.

For that reason, Intel is pushing the industry to finish the development of an actinic-based mask inspection tool. KLA-Tencor, for one, has been working on it. Using the same 13.5nm wavelength as EUV, actinic inspection can supposedly find more defects than optical. But it could take the industry three to five more years to bring this technology into the market at a cost of around $500 million.

As a result, there is an increasing interest in the next option—single e-beam and/or multi-beam e-beam. In fact, several entities are developing multi-beam e-beam inspection technology, which is also a challenging task.

All told, there are no simple answers for EUV mask inspection. And if chipmakers want next-generation inspection tools, it remains unclear how the industry will pay for it. “You have parties that believe you need actinic,” said Brian Trafas, chief marketing officer at KLA-Tencor. “You also have parties that think there is a workaround. They are not aligned yet. Until they are aligned, funding doesn’t happen.”

Searching for defects
The photomask is a key part of the IC manufacturing flow. Today’s simple optical masks consist of an opaque layer of chrome on a glass substrate. To inspect the mask, photomask makers use optical tools, based on 193nm or other wavelengths.

EUV masks are different than traditional photomasks. On the top of the substrate, there are 40 to 50 alternating layers of silicon and molybdenum. The multi-layer stack serves as a mirror or reflector for EUV light.

Making an EUV mask is a complex process. And as EUV gets pushed out, the specs get tighter and the defects are harder to find on the mask. “There are additional constraints on the (EUV) mask. That’s on the fabrication side,” said Uday Mitra, vice president and chief technology officer for the Etch Business Unit at Applied Materials. “On the inspection side, there are many, many challenges, both on regular masks as well as EUV masks.”

Perhaps the biggest challenge is fairly apparent—Will the industry ever bring EUV into mass production? It’s still unclear.

At present, though, EUV is targeted for 7nm. As a back-up plan, chipmakers plan to extend 193nm immersion with multiple patterning at 7nm, which could be an expensive solution. “Technically, it’s feasible to do it but we are all hoping that EUV is going to happen at 7nm,” said Aki Fujimura, chief executive at D2S. “The leading-edge semiconductor business is going to be very different if we have to stick with 193nm immersion.”

Pellicle dilemma
At one time, the industry insisted that EUV masks did not require a pellicle. Used in all optical masks, a pellicle is a thin-film membrane that sits on the reticle and acts as a dust cover.

To inspect an EUV mask without a pellicle, photomask makers can use existing 193nm mask inspection tools. For now, optical inspection is arguably good enough for EUV masks.

But recently, chipmakers changed their position, insisting that EUV masks now require a pellicle. Without a pellicle, according to chipmakers, the EUV reticle is prone to particles and defects.

There is a problem with EUV pellicles, however. Mask makers can’t use existing 193nm mask inspection tools, or even e-beam systems, to inspect EUV masks with the pellicle on top. The EUV pellicle itself consists of a thin polysilicon-based membrane material. Unfortunately, this material is opaque at 193nm or other wavelengths at the deep ultraviolet range.

In theory, actinic-based mask inspection technology could inspect an EUV mask with a pellicle on top. But actinic-based photomask inspection does not exist today and may never appear.

So, what’s the solution to the problem? The answer: Develop a retractable pellicle. In fact, ASML has been developing this type of technology, which can support optical, e-beam and actinic. Still in the R&D stage, ASML’s EUV pellicle solution soon will be available in the commercial market.

ASML’s so-called NXE Pellicle technology is a removable/re-mountable design. It consists of a polysilicon-based pellicle membrane, a frame, attachment clips and mounting studs. The EUV pellicle covers the active imaging field of the mask, but not the entire reticle.

In a theoretical flow, the EUV mask is manufactured and the pellicle is placed on top. Then, the EUV mask is inspected in the latter part of the flow. At that point, the EUV pellicle is automatically raised and removed from the mask.

Then, a tool inspects the mask. Following that step, the pellicle is automatically lowered and re-attached to the EUV mask. Then, the EUV mask, with the pellicle on top, is shipped to the fab.

Still, this process flow has not been demonstrated in the mask shop. And the industry is still assessing the pellicle technology. “We are evaluating this,” KLA-Tencor’s Trafas said. “We are looking at the performance level. Is it contamination free or not?”

On the other hand, ASML’s pellicle solution could solve a major problem. By removing the pellicle in the flow, the EUV mask itself can be inspected by existing optical-based mask inspection tools from Applied Materials and KLA-Tencor. It can also support e-beam tools as well. “If it’s successful, then it allows the industry to use our existing (tool), which has an EUV mode on the platform,” Trafas said. “It’s basically an upgrade on our tool. You can make measurements with EUV masks. It exists today.”

Still others have concerns about the technology. “Making pellicle mounting perfectly clean, and hope nothing happens to the pellicle (is challenging),” said Mark Phillips, engineering technology development manager at Intel. “Mask shops have been trying to make pellicle mounting clean for 35 years now.”

The other issue is also clear—Optical inspection may one day run out of steam. Given the issues with optical, Intel, GlobalFoundries, Samsung and TSMC want actinic inspection for EUV masks. “Actinic is needed,” said Pawitter Mangat, senior manager and deputy director for EUV lithography at GlobalFoundries. “For high-volume manufacturing, it will surely help, but the cost-of-ownership will need to be evaluated. Due to a long lead time for development, we cannot delay this much further.”

In fact, several years ago, KLA-Tencor began to develop (and is still developing) an actinic inspection tool for EUV masks. The tool resembles an EUV scanner. It requires an EUV source, which is integrated into an inspection system. In theory, 13.5nm wavelength light is produced when a laser pulse is shot at a droplet of tin.

“The source for inspection and scanners do have similarities, but there are also key differences,” said Reza Abhari, founder of Adlyte, a developer of EUV sources. “The main difference is that the inspection sources require high brightness, when compared to the scanner, but the power requirements are more modest. While a few watts of EUV power is sufficient, the quality and stability of this power requires high level of system control. The stability requirements, both temporally as well as spatially, are very strict for the imaging application when compared to litho. The last key issue is that the cleanliness has to be at the highest level to avoid contamination of the masks.”

There are other challenges as well. “The main challenge to get actinic mask inspection off the ground is the lack of clear visibility on the timing of EUV HVM,” Abhari said. “There is acceptance in the community of the need for EUV AIMS and actinic blank inspection. As far as actinic pattern inspection (API), the situation is a bit different. Many consider API to be essential, but some point out to the cost and argue that it may not be needed. Our position is that, given the minimum of three years’ time needed to develop a viable API for HVM, this process needs to start soon. An API tool requires significant developmental investment, which at the moment is lacking.”

Others agreed. “As far back as three to four years ago, we stood up and said: ‘We have the technology and we can do this. But we can’t afford to do it on our own. We need outside funding,’ “ KLA-Tencor’s Trafas said. “There was a challenge (in terms of) getting enough funding. This is because there was not enough progress in EUV and with the illumination system itself. So no one wanted to put more funding into this infrastructure.”

To finish the development of the actinic tool, KLA-Tencor needs more time and funding. “We’ve done some fundamental R&D,” Trafas said. “We’re shared that with the key industry players. We’ve talked about how it’s probably a four-year development program to get actinic into the marketplace. We really need to think about an industry-funding model to enable that. We are in continued discussions around that.”

Still, the return-on-investment is questionable. An actinic tool could be used in only one market—the photomask sector. But even then, only a handful of mask vendors could afford it.

For that reason, there is a strong case for e-beam inspection. Besides the mask market, e-beam inspection tools are sold into the larger wafer inspection segment. E-beam inspection has sensitivities down to 3nm, but the throughputs are too slow.

So, Applied Materials, Hermes, Maglen, Multibeam, Sematech and others are separately developing multi-beam e-beam inspection tools, all of which are still in R&D.

In theory, multi-beam inspection has higher throughputs, but the technology is challenging. The electrons in the columns tend to disturb each other, which impact the performance of a system. And so far, most entities have described tools with a limited number of beams. “If you could develop a (multi-beam inspection tool), it would help improve the throughput,” Trafas said. “The trouble is you don’t get enough area coverage with an e-beam approach. I don’t get enough stats to get a true picture of where my defects are on my wafer.”

Mask makers would require a tool with hundreds, if not thousands, of beams to make it cost effective. But it could take several years to develop a multi-beam tool with thousands of beams. And the chances are remote that actinic will ever appear. And so for EUV mask inspection, it may be optical or bust.



2 comments

Mike says:

“Sematech and others are separately developing multi-beam e-beam inspection tools” – NOT. The only thing sematech is developing these days is a shutdown plan.

K. Goldberg says:

Non-actinic tools can’t accurately see or find all of the mask defects. This has been known for years, and the problem only gets more difficult for future nodes.

Leave a Reply


(Note: This name will be displayed publicly)