A Chip For All Seasons

FPGAs are proving to be versatile for many applications.

popularity

FPGAs are showing up in more designs and in more markets, and as they get included in more systems they are becoming much more complex.

A decade ago, the key markets for FPGAs were industrial, medical, automotive and aerospace.

Those markets remain strong, but FPGAs also are playing a role in artificial intelligence, data centers, the IoT, networking, test and measurement instruments, wireless and wireline communications infrastructure, and even nuclear power plants. FPGA vendors also taking aim at big data analytics, machine learning, data-storage compression/decompression, and video processing, among other applications. And embedded FPGAs, an early-stage market, are becoming more popular as cost-conscious designers feel more confident about using this technology.

This is evident in the funding for some of the eFPGA startups, which are proliferating at a time when semiconductor startups are something of a rarity. Flex Logix, which offers reconfigurable RTL IP cores and related design software, has raised $12.4 million in two rounds of private funding, led by Lux Capital and Eclipse Ventures. Menta, a French eFPGA startup founded in 2007, has raised $7 million in private funding, led by FJ Development EN.

Others, like Achronix and QuickLogic, have invested heavily in eFPGA technology based upon internal projections for growth. Steve Mensor, vice president of marketing at Achronix, said his company’s FPGA chips, which are based on Intel’s 22nm process, still bring in the lion’s share of revenue, which is expected to exceed $100 million this year. But Achronix’s new eFPGA line will account for more than 20% of the total this year, he said.

Flex Logix CEO Geoff Tate compared what’s going on in the eFPGA market to what happened in the processor world during the transition from PCs to mobile phones. “Back 25 years ago, most processor chips being sold went into PCs and workstations. Arm realized that there was opportunity for embedded processors, which enabled new types of applications that did not compete with the x86 architecture—things like cellphones, where you could only have a cellphone if you made it small and low power. Having an embedded processor was key to enabling that.”

While the FPGA market has grown up alongside both of those, Tate noted that eFPGAs are a whole different approach. “Embedded FPGA requires different technical tuning than FPGA chips,” he said. “An embedded FPGA works just like a FPGA chip. There are look-up tables, there’s a programmable interconnect, so there’s an FPGA fabric similar to what you would find in a chip from Altera, or Xilinx, or some of the smaller FPGA companies. But in the embedded FPGA market, to be successful and meet customer needs, you have to tune your technology to address different requirements.”

eFPGA economics
And this is why the eFPGA market is looking so attractive.

“There is a market that is growing pretty rapidly for embedded FPGAs because there has been a desire to have such technology, probably for many years, but the folks that were capable of delivering embedded FPGA basically chose not to,” said Achronix’s Mensor. “Now we are offering the technology, and we can show companies established standalone FPGA technology that they can use to demonstrate all of the functionality that they would want to embed. And they understand that we’ve done this multiple times in terms of shipping IP to companies that are building their SoCs. So we’ve addressed their risk concerns. The demand has been there. The issue has been there. It’s been a supply constraint.”


Fig. 1: Asia-Pacific FPGA market growth. Source: Global Market Insights


Fig. 2: U.S. FPGA market Growth. Source: Grand View Research

It goes well beyond that, too. For years, FPGA vendors pitched their chips as a low-cost alternative to ASICs. So rather than create an ASIC for a new market, chipmakers could start out with an FPGA and ultimately convert their design efforts to an ASIC if there was enough volume to justify the cost. FPGA vendors spent a lot of marketing dollars on power/performance versus configurability and ease of design, racing to the latest process nodes to keep pace with the most advanced ASIC vendors.

Those battle lines began crumbling below 28nm. The cost model for developing advanced ASICs ran into trouble in the finFET era, where it was more difficult and more expensive to develop chips at successive nodes and the end market for billion-unit chips was dominated by two big mobile device companies. Fortunately, other new markets began opening up, which play well to the economics of programmable logic.

“We’re really a lot more than an FPGA company these days, and really broadened our market reach and applications into things like embedded processors,” said Kirk Saban, senior director of FPGA and SoC product management and marketing at Xilinx. “The FPGA business is expanding in terms of the complexity of products that we’re delivering as a company.”

That includes advanced packaged solutions, multiprocessor SoCs, radio-frequency SoCs, and integrated high-bandwidth memory technology, Saban said.

Embedded FPGAs are a new twist on this approach, and what makes them particularly attractive is they can be built at any process geometry and sized for any application. That changes the economic formula even for programmable logic.

“Traditional FPGA chips go into low-volume systems or prototype systems,” Tate said. “They either stay low-volume or they get converted to ASICs as the volume ramps. FPGA chips tend to be very high power, very high performance, leading-edge process technology, and very expensive. An embedded FPGA can be made available on the processes that the customers want, which can be from 180nm down to 16nm. It has to be tuned for high-volume chip design. So things like minimizing the number of metal layers is important, whereas FPGA chips use maximum metal layers. In the embedded market, a lot of the customers are very cost-sensitive, and don’t use as many metal layers as an FPGA chip. Most importantly, the customers have a wide range of sizes that they require. Some people need very small embedded FPGAs and some need very large ones. And they need an embedded FPGA on the process that they picked. The networking folks go for 16nm, while microcontrollers go to 40nm. The customers know the process that optimizes for their needs, and the embedded FPGA is useless unless it’s on the foundry and the process node and the variation that they’re looking for—with the size that they want and with the options that they need.”

Different metrics
This also changes the discussion about which type of chip is better for which end application. In the past, an FPGA typically was compared to an ASIC, and more recently a GPU for machine learning and neural networking applications.

“An ASIC is an FPGA that’s hardened,” said Achronix’s Mensor. “An ASIC is a more optimal solution if you can afford the very high development price and the risk that goes with it, such as respins of the custom chip design. ASICs have a place and they are valuable. They tend to be optimized for unit cost and power. Once you’ve paid the very high development expense, which includes your engineering, mask charges, verification, software verification, system-level verification, then the ASIC is ultimately going to be a much smaller die size and a more optimal solution for production. The downside with an ASIC is that it’s prone to any mistake, delaying the product. And that’s where FPGAs are incredibly valuable. An FPGA can be changed. When your system is being tested at bring-up, if you identify any issues, you can simply change your code on your EDA tools and reconfigure your FPGA.”

The argument is somewhat different for an eFPGA. It can be built into any SoC on any process. It can be used as an accelerator in an advanced package, or it can be built into a single-chip solution.

“Our technology has a building-block approach,” said Tate. “We can deliver arrays in a hundred different sizes, from as small as a hundred look-up tables to as big as 100,000 look-up tables. And every time we develop IP for a new process node, we’ve done a validation chip, which enables us to prove the silicon and show the customers. We got it to work the first time, and it will work the first time on their chip.”

When programmability sells

Both eFPGAs and FPGAs are riding a wave of uncertainty in new and existing end markets. Protocols are changing, technology is still being defined and tweaked for autonomous vehicles and machine learning, and advanced manufacturing processes now require multiple revs before they are stable enough to ensure reasonable yield.

This makes programmability an attractive option in designs. And in some markets, notably machine learning, FPGAs actually outperform other processor types because they employ fixed point calculations rather than floating point.

“FPGAs are much better in terms of a power footprint, and much better for performance because they’re inherently more parallel, you can build more programming units inside an FPGA than you can get with a GPU,” said Mensor. “The difference is the toolchain, the software flow.”

Others agree. “From a machine-learning perspective, while it is generally accepted that GPUs do better in training, FPGAs perform better in inference and will continue to extend that lead,” said Kirvy Teo, co-founder and chief operating officer for Singapore-based Plunify. “It is still very much a race. It will also be interesting to see how the Intel CPU+FPGA combination will turn out. GPUs are also more accessible and commonplace. Everybody knows what a GPU is and how to use it. But the recent proliferation of FPGAs in major cloud providers will level the field a bit. GPUs seem to have an advantage in terms of ecosystem partners, but again, FPGAs are catching up.”

There are good reasons for this, notably power, performance and configurability.

“FPGAs offer some really strong compute power for reconfigurable applications, and the obvious one now is everyone’s favorite, which is machine learning,” said Dave Kelf, vice president of marketing at OneSpin Solutions. “Xilinx and others are doing lots and lots of work to use FPGAs as configurable data/number-crunching processors in big data centers for machine learning and large data applications. We see a lot of GPUs being used, as well, because they have a strong sort of neural network mechanism built in. The nice thing about FPGAs is you can get much greater performance out of them, but they’re still reconfigurable. ASICs are a massively expensive proposition. FPGAs possess a competitive advantage over ASICs in photomask and chip manufacturing costs.”

Gaurav Singh, Xilinx’s vice president of architecture and verification, agrees. “Machine learning is becoming very important, and the applications and the use of the machine learning algorithms is actually expanding very rapidly. There is the training piece, which is where scientists and data scientists and experts try and come up with neural networks, how to train neural networks, so that’s the training piece. The much larger deployment is really the inference piece. This is where you have trained neural networks, but you want to have efficient devices that can actually be used for inference. For that, there is certainly the data center.”

By the numbers
DARPA estimates about 10% of all FPGAs go into aerospace and defense electronics. Discrete FPGAs also show up in automotive electronics, communication/data center/network acceleration, and sensor fusion.

FPGA chips with hardened IP functions are going into Ethernet-based networks, moving data at 10 to 40 to 100 gigabits per second, along with wireline switching, test and measurement, and military applications, said Mensor. 5G and other applications calling for running at 360 to 500 megahertz, and Achronix is working to achieve that level of high performance, according to Mensor.

Meanwhile, the biggest applications for eFPGAs are the IoT and microcontrollers, networking and data-center chips, and aerospace/defense, according to Tate. A number of companies are betting that eFPGAs pick up more share in more markets as they begin rolling out across a variety of process nodes.

Uncertainty comes with a price, and that price can be very high for companies that miss market windows or end up with chips that are based on old protocols or designed for older algorithms. Programmability will help substantially on that front.

Related Stories
Embedded FPGAs Going Mainstream?
Programmable devices are being adopted in more market segments, but they still haven’t been included in major SoCs. That could change.
CPU, GPU, Or FPGA?
Need a low-power device design? What type of processor should you choose?
FPGA Prototyping Gains Ground
The popular design methodology enables more sophisticated hardware/software verification before first silicon becomes available.
Machine Learning Meets IC Design
There are multiple layers in which machine learning can help with the creation of semiconductors, but getting there is not as simple as for other application areas.



Leave a Reply


(Note: This name will be displayed publicly)