Advanced Packaging Confusion

Number of options and naming conventions are causing consternation throughout the semiconductor supply chain.

popularity

Advanced packaging is exploding in all directions. There are more chipmakers utilizing different packaging options, more options for the packages themselves, and a confusing array of descriptions and names being used for all of these.

Several years ago, there were basically two options on the table, 3D-ICs and 2.5D. But as chipmakers began understanding the difficulty, cost and reduced benefits of device scaling, advanced packaging really began taking off. The growing success of this approach has created its own set of problems, though. There now are multiple flavors of 2.5D, as well as multiple types of fan-outs, fan-ins, system-in-package, package-on-package, flip chips, and various different bridge technologies. Add to that list package-less approaches, wafer-level packaging, and die-on-die-on-wafer. There is even talk of panel-level packaging.

“The problem is that there are so many permutations with advanced packaging that it’s basically endless,” said Jan Vardaman, president of TechSearch International. “It used to be wirebonds and leadframes. Now we have area arrays and chip scale. The package can be leaded, or the peripheral can be leaded. And with arrays you have BG (ball grid), CSP (chip-scale package), wafer-level and a ton of fan-outs. That’s one of the big problems. There are too many choices.”

Vardaman has a growing amount of support on that point.

“Many companies want to put a specific name or brand name to this technology,” said William Chen, ASE fellow and senior technical advisor. “That’s very confusing. We have many different technologies with fine-tuned differences. But we don’t need this kind of confusion bred into a system, and as we go forward we my need to put this into a framework and eventually go to a standard approach. This is why ASE has tried to do as much as possible not to invent names and terms.”

The road to better definitions
Having a long list of packaging options isn’t necessarily bad, because it allows chipmakers and OEMs to develop more customized multi-chip solutions. But having too many naming conventions is another matter, entirely. In fact, IEEE has begun making overtures to tighten up the nomenclature around 2.5D, where there are options for 2.1D using organic interposers and 2.7/2.75D using bridges. There also are side-by-side and vertical implementations of 2.5D, as well as pillars on interposers.

Raja Swaminathan, IEEE’s roadmap author and an industry expert on packaging, said there is an effort to roll up all of the 2.x nomenclature under 2DO, for both chip-first and chip-last organic-based connectors, and 2DS, for passive silicon-based packages, with or without TSVs.

“On package is higher-density compared to side-by-side,” Swaminathan said. “But the goal is to get these architectures under a single umbrella so that you can define what these architectures mean and then compare different metrics.”


Fig. 1: 2DO vs. 2DS. Source: IEEE/Swaminathan

IEEE introduced that concept at IEEE’s Electronic Components and Technology Conference (ECTC) in San Diego last month.

“With need to put all of this in a framework,” said Ravi Mahajan, an Intel fellow. “With any new architecture, you need a way to bucket-ize it. And if the framework is defined well enough, you need to achieve consensus across the industry. So if you look at photonics, there’s a fairly decent set of papers. But some of these use a silicon interposer, some use a bridge, and some are using organic interposers, which are catching up to the others. There are differences in the densities. We also see glass interposers coming into the market.”


Fig. 2: Multiple options, with more on the way. (Swift, SLIM are trademarks of Amkor; FoCoS is trademarked by ASE; CoWoS and InFO are TSMC trademarks.) Source: IEEE/Swaminathan

But all of this can at least be grouped together, which is what foundries and packaging houses are trying to do. In the past, much of this was developed ad hoc with little concern for nomenclature. This happened largely because advanced packaging was off to the sidelines of the main event, which was shrinking features at each new process node. But at 10/7nm and beyond, the benefits of scaling are shrinking while costs are rising. Even with new transistor structures, the kind of gains that shrinking brought in the past are no longer possible.

“Before 14nm, there was a 30% improvement in price/performance at each node,” said ES Jung, executive vice president and general manager of the foundry business in Samsung‘s Device Solutions Division. “From 14nm to 10nm, there is more than 20% improvement, and at less than 10nm there is more than 20%. At 3nm, there is about 20% improvement.”

There is no comparable measurement for advanced packaging, because many of the implementations are customized. But reports of 30% improvements in performance and power are not uncommon, which is why all of the high-speed networking and some of the new server chips utilize advanced packaging. Even Intel, which has been the gatekeeper of Moore’s Law since it was first introduced, has begun offering advanced packaging options using its Embedded Multi-die Interconnect Bridge (EMIB) to shorten the distance between various components on a chip and to widen the signal channel, which both improves performance and requires less power to drive signals.

This is becoming particularly important in applications where there is more data to contend with, such as AI and machine learning.

“With AI, the key measurement is cost per function,” said Subramanian Kengeri, vice president and general manager of the CMOS Platforms Business Unit at GlobalFoundries. “A lot of this is 2.5D and 3D, and heterogeneous integration is what everyone wants to see. The Holy Grail is to be able to get everything onto a monolithic SoC, but when you’re adding MRAM, analog from older nodes, there is no single technology node that allows you to add all of the functionality. So you’re going to be using multiple chips, and what you want to do is take the minimal number of chips and integrate them together with some packaging technology.”

Different approaches
This is an architectural challenge, and different companies are using different architectures and components depending on what they are trying to achieve and the type of data they are working with. For example, Facebook is more concerned with image processing and recognition, while Google is more focused on search data. No two problems are the same, and that is reflected in the packages. Some are more focused on thermal dissipation, others on performance or power, or some combination of all of those.

The result is that no two packages are exactly alike. The result is that no two packages are exactly alike. “Advanced packaging platforms enable solutions for diverse applications ranging from mobile to high performance computing,” said Manish Ranjan, managing director of Lam Research‘s Advanced Packaging Customer Operations. “IDM, foundry and OSAT customers have developed various integration schemes for different end applications. Several technology platform solutions such as fan-out wafer level packaging, silicon interposer and TSVs will continue to co-exist for different end markets.”

TSMC has skirted this issue somewhat by combining everything under the headings of InFO (Integrated Fan-Out) or CoWoS (Chip On Wafer On Substrate). Other foundries and OSATs have begun offering their own branded terminology, as well. But figuring out how one differs from another isn’t trivial, particularly for proprietary solutions.

This is one of the reasons DARPA has been pushing chiplets, both for military and commercial applications. The idea that companies can build customized chips quickly is an interesting concept, but it will some time before there are enough options available to pick and choose from a variety of different IP vendors. Still, an increasing number of chipmakers are rallying beyind this option.

“One of the advantages of chiplets is that you don’t have to say what kind of package you’re using,” said Amin Shokrollahi, CEO of Kandou Bus, which develops chip-to-chip links and SerDes chiplets. “People are talking about ways to implement them rather than how you actually put things together. The real key is that you have multiple dies connected together in some package. This gets around the taxonomy problem because you’re looking at a higher level first. It’s like trying to compare a convertible to an SUV. They’re both still a car.”

Yaniv Kopelman, networking CTO and senior director at Marvell, said he has been pushing this approach for the past couple of years. Marvell introduced its modular chip architecture, also known as MoChi, back in 2015. The idea was to select from a menu of options, then package it together using an interconnect fabric.

“For the last two years, we have been trying to convince people this is the way to go,” said Kopelman. “This has become one of the big topics recently because of the huge number of interfaces you need to support, particularly for applications like machine learning and AI. What’s really critical is all of the OSATs already know how to do this, so there is no limit on the number or placement of dies. And it’s cost-effective and open. It now uses a USR interface. A lot of the other solutions are semi-proprietary.”

Marvell more recently has been supporting the Cache Coherent Interconnect for Accelerators (CCIX) for connecting the various pieces together. “The key here is that this is known technology, not new proprietary interfaces,” Kopelman said. “Proprietary interfaces cost more.”

They also add to the confusion about which path to choose going forward, from fan-out to 2.5D to system-in-package.

“High Density Fan Out Solutions are gaining traction for mobile and certain high performance computing segment,” said Choon Lee, vice president of advanced packaging at Lam Research. “Managing warpage and overall yield is critical to ramping next-generation fan out solutions in a cost-effective manner. It is expected that high-density fan out should also enable mixed die integration in a system-in-package (SiP) format.”


Fig. 3: CCIX architecture. Source: ccixconsortium.com

3D-ICs
While 3D-ICs have been on the drawing board for most of this decade, there has been little outward progress in this area. Initial designs were rejected because of thermal considerations. Logic on logic produces too much heat, so a processor sandwiched between other processors and memory would have to be powered down to the point where it would be less efficient than adding separate die into a different type of package.

Memory on logic, however, has proven to be a workable scheme from a thermal standpoint, and chips are under development that leverage this model—particularly with elements of programmability built in. That could include an embedded FPGA, multiple DSP elements, or some combination of both. The value proposition is that it prevents obsolescence for multiple chips in a package.

There seems to be remarkably little confusion about 3D-ICs, in part because they’re an all-in one solution. And while they can be constructed different ways, so far there is very little variation in the taxonomy.

Nevertheless, 3D-ICs are a highly competitive battleground among a select group of processor vendors. While multiple companies are building them today, only the memory vendors are willing to talk about them.


Fig. 4: 3D-IC approach, with through-silicon vias. Source: Mentor, a Siemens Business

Conclusion
Concerns about naming conventions, and the confusion that spawned those concerns, are signs that the market is heating up in advanced packaging. When Apple introduced the iPhone 7, it was the first major company to embrace fan-out packaging. Since then, fan-outs and multiple versions of nearly everything else have gone mainstream.

As with most new technologies and technology approaches, that initial explosion is followed by companies exploring every possible permutation to figure out what works best where and, ultimately for the best price. That almost certainly will lead to a winnowing-out phase, where the number of architectural choices is reduced and the number of companies vying for a piece of this market shrinks. But that still may be years away, and just how successful companies will be at defining the taxonomy in the short-term isn’t entirely clear. What is clear is that advanced packaging is here to stay, no matter how it gets classified.

Related Stories
Extending The IC Roadmap
Imec’s An Steegen sees advanced packaging as a critical component of future scaling, including new bridge technology.
New Issues In Advanced Packaging
The race is on to simulate thermal and electromagnetic effects.
Advanced Packaging’s Progress
Different types of packages and what the pros and cons are for each.



2 comments

Treliant Fang says:

Differentiation between a trademark of a packaging PRODUCT and a new packaging TECHNOLOGY now is so blurry that it defies common sense. IEEE’s involvement in defining emerging packaging types is certainly a good start to mitigate the confusion.

peter j connell says:

Not discussed afaict is on package cooling using novel methods. Nano engineering carbon atoms e.g.

“Thermal conductivity of natural diamond was measured to be about 2200W/(m·K), which is five times more than silver, the most thermally conductive metal.”

Leave a Reply


(Note: This name will be displayed publicly)