Applied-TEL Deal Faces Delays

Applied Materials’ proposed move to acquire TEL faces a possible delay.

popularity

Applied Materials’ proposed move to acquire rival Tokyo Electron Ltd. (TEL) faces a possible delay. The blockbuster deal could get pushed out until next year amid a host of complicated regulatory issues.

As reported in September of 2013, Applied Materials announced a definitive agreement to acquire TEL in a stock deal valued at around $9.3 billion. Under the terms, Applied Materials would own approximately 68% of the new company and TEL would own about 32%. The combined entities would have a new name, dubbed Eteris.

Originally, the companies expected the transaction to close in mid to second half of 2014. Now, the deal could get pushed out well into 2015. “We are actively engaged in dialogues with regulators around the world to secure all necessary approvals,” according to a filing from Applied Materials. “While we continue to work towards our goal of closing the merger before the end of this year, completion may extend into the first calendar quarter of 2015.”

In fact, Applied Materials still requires approval for the TEL deal in six countries—the United States, China, Germany, Japan, South Korea and Taiwan, according to Pacific Crest Securities. Regulators fear that the combined Applied-TEL entity could end up with a monopoly in the fab tool business.

Regulation is becoming more of an issue as the semiconductor industry continues to consolidate. Companies are bigger and competition is lower. Regulatory issues could hamper some of the more significant deals, including GlobalFoundries’ recent and proposed plan to buy IBM’s chip unit.

Meanwhile, Applied Materials and TEL have a large portfolio of complementary, and overlapping, product lines. Applied is the world’s largest supplier of tools for CMP, ion implantation, PVD and RTP. The company also sells tools in other markets, such as ALD, electroplating, epi and inspection/metrology.

For its part, TEL is the world’s largest player in wafer probe and wafer track. It is also strong in the fragmented wafer cleaning market. Both Applied Materials and TEL must sort out their respective CVD and etch lines, as the companies have some competing products.

“We still expect the merger to be approved, but perhaps not until 2015 or with significant concessions,” said Weston Twigg, an analyst with Pacific Crest Securities. “We have run one scenario assuming roughly $1.5 billion worth of divestitures, and the merger still makes sense financially. Applied has indicated no more than $600 million in divestitures will be acceptable.”

It’s unclear what concessions that Applied will make in the long run. To date, meanwhile, Singapore is the only country that has approved the Applied-TEL deal. In Germany, a decision to approve the deal has been pushed out to Nov. 14. “However, the main customer in Germany is GlobalFoundries with no major AMAT competitors. So we expect AMAT-TEL’s chances of approval to be reasonably good,” said Srini Sundararajan, an analyst with Summit Research, in a research note.

Korea and China appear to be major stumbling blocks, with some uncertainty in the United States. “Korean domestic semiconductor equipment makers feel threatened. Checks suggest that Korean domestic companies contend that the merged company will have 50% market share of WFE, while AMAT-TEL have suggested low 30%,” said Sundararajan.

Pacific Crest’s Twigg said China has some major concerns about the Applied-TEL deal. “We are particularly concerned regarding China’s Ministry of Commerce (MOFCOM). Of more than 700 deals reviewed by MOFCOM, we believe this is only the fifth deal that went through the initial 180-day period without a decision. More worrisome is that three relatively recent tech mergers reviewed by MOFCOM, Seagate/Samsung, WD/Hitachi and MediaTek/MStar, included hold-separate orders, forcing the companies to operate independently for a number of years before reconsideration; this type of decision could reduce or eliminate planned cost-synergies for AMAT-TEL,” Twigg added.



1 comments

info44330 says:

interesting article , the mega deals looks less likely then 12 months ago

Leave a Reply


(Note: This name will be displayed publicly)