Issues And Challenges In Super-Resolution Object Detection And Recognition


If you want high performance AI inference, such as Super-Resolution Object Detection and Recognition, in your SoC the challenge is to find a solution that can meet your needs and constraints. You need inference IP that can run the model you want at high accuracy. You need inference IP that can run the model at the frame rate you want: higher frame rate = lower latency, more time for dec... » read more

Connect To Any Chip With Programmable GPIO


Your MCU/SoC today may have several options for GPIO connections: UART, SPI, I2C. But there are dozens of variations and kinds of GPIO interface protocols: you don’t have enough pins to provide all of them as hardwired options. As a result, a significant number of your customers either can’t use your chip because they need to connect to another with a GPIO interface you don’t support, ... » read more

The Importance Of Metal Stack Compatibility For Semi IP


Every foundry and every node is different, but for every foundry/node there are multiple supported metal stacks. Some chips use a lot more metal layers than others. A common rule of thumb is each metal layer increases wafer cost 10%. So, a chip with 5 more metal layers than another will cost 50%+ more. The most complex, high performance chips, including performance FPGAs, typically use AL... » read more

Micro FPGAs And Embedded FPGAs


When people hear “FPGA” they think “big, expensive, power hungry.”  But it doesn’t need to be that way. Renesas has announced their Forge FPGA family. Details are at their website and in one of the many articles that covered their press release. Forge FPGAs show that FPGAs don’t have to be big, power hungry, and expensive. Forge FPGAs are tiny, draw standby current measure... » read more

Integrating 16nm FPGA Into 28/22nm SoC Without Losing Speed Or Flexibility


Systems companies like FPGA because it gives parallel processing performance that can outdo processors for many workloads and because it can be reconfigured when standards, algorithms, protocols or customer requirements change. But FPGAs are big, burn a lot of power and are expensive. Customers would like to integrate them into their adjacent SoC if possible. Dozens of customers are now u... » read more

Put A Data Center In Your Phone!


Datacenters heavily leverage FPGAs for AI acceleration. Why not do the same for low power edge applications with embedded FPGA (eFPGA)? It’s common knowledge for anyone connected to the cloud computing industry that data centers heavily rely on FPGAs for programmable accelerators enabling high performance computing for AI training and inferencing. These heterogeneous computing solution... » read more

What’s Really Behind The Adoption Of eFPGA?


System companies are taking a more proactive role in co-designing their hardware and software roadmaps, so it’s no surprise that they are also driving the adoption of embedded FPGAs (eFPGA). But why and why has it taken so long? Today, most system companies leverage FPGAs to offload intensive compute workloads from the main processor or provide broader IO capability than any packaged ASIC ... » read more

eFPGAs Bring A 10X Advantage In Power And Cost


eFPGA LUTs will out-ship FPGA LUTs at some point in the near future because of the advantages of reconfigurable logic being built into the chip: cost reduction, lower power, and improved performance. Many systems use FPGAs because they are more efficient than processors for parallel processing and can be programmed with application specific co-processors or accelerators typically found in da... » read more

Add Security And Supply Chain Trust To Your ASIC Or SoC with eFPGAs


Before Covid-induced supply chain issues affected semiconductor availability and lead times, concerns about counterfeit parts and trusted supply chains were becoming the subject of many articles and discussions affecting critical data centers, communications, public infrastructure, and facilities such as regional power plants and the grid. Today’s semiconductor design and manufacturing is com... » read more

eFPGA Saved Us Millions of Dollars. It Can Do the Same for You


For those of you who follow Flex Logix, you already know that we have an IP business, EFLX eFGPA, and an edge inferencing co-processor chip and board business, InferX. InferX came about because we had many customers ask if they can run AI/ML algorithms in EFLX. The answer was and still is, of course you can – EFLX is an FPGA fabric similar to what FPGA chips use. Our co-founder, Cheng Wang, t... » read more

← Older posts Newer posts →