Executive Insight: Wally Rhines

A candid, behind-the-scenes talk with Mentor Graphics’ chairman and CEO about the need for EDA startups, the truth about single-vendor flows, M&A strategy and how EDA revolution can still happen.

popularity

Semiconductor Engineering sat down with Wally Rhines, chairman and CEO of Mentor Graphics, to discuss what is required for EDA to grow, key areas of opportunity for EDA growth and going against the grain. The interview is part of an ongoing series of in-depth interviews with top executives from all segments of the industry.

SE: What keeps you awake at night?

Rhines: Actually nothing keeps me awake at night. If I wanted to worry about the EDA industry and ask what’s the biggest problem, it’s that traditional EDA grows only when new problems arise. We have to have a continuous supply of new problems if we want to grow. The businesses that make up the bulk of the revenue of EDA don’t grow. Printed circuit board EDA software has been flat for 25 years. Simulation software has been flat for over 10 years. Place and route has been flat for 7 or 8 years. And these are big-dollar sections of the TAM. So what has grown? The optical proximity correction/resolution enhancement went from zero to over $200 million in the last decade. There has been some growth of new forms of analog and mixed signal. Power analysis grew quite a bit. And emulation has soared in the last three years. That one was around a long time. It just hit its sweet spot and took off. The other one is high-level design of one sort or another. One has to look forward and say, ‘Those have provided pretty good growth for the past decade and the emulation looks like it’s right at the beginning, so it’s a hot one going forward.’

But what else is there?’ The answer is thermal analysis. That’s one of our fastest growing businesses. Our thermal analysis business was already a large business —we actually had an acquisition and it has doubled since we acquired it. Thermal will be big on the next generation. There are still lots of things to do in power minimization, power analysis. The biggest part is that chip design is fully automated so new problems turn up each generation, but there’s nothing you do with chip design that is manual. It starts on a computer and it ends on a computer. Design automation has fully permeated the infrastructure of design. That is in contrast to the systems world, where with cars, planes and trains you have a lot of kinds of mechanical equipment. The design of the electronics is not, in some cases, automated at all. Where it is automated is at a very early stage of EDA adoption.

SE: So there’s another market for growth.

Rhines: It’s enormous because the semiconductor industry is a $300 billion industry and the electronic equipment business is a $2 trillion industry. So we don’t even have to perturb their cost models very much to grow much bigger than the current EDA industry.

SE: Then, what about the software?

Rhines: That’s all part of the system. That permeates down into the chip. That’s another nice problem, which is becoming a bigger problem every year, that causes growth. And our embedded software business is growing very, very rapidly.

SE: How do you choose which companies to acquire?

Rhines: We have a different strategy I think than most. If you talk to people in the M&A business they are almost always approaching you with the proposals to acquire companies in your areas of weakness. We do just the opposite. We try to concentrate our acquisitions in areas of strength, and we have several reasons for that. First of all, it’s customer franchise and the ability to add value to the acquisition. So if you are already the largest provider in that kind of application space, the customers know you. They trust you. You bring in another product, they’re willing to consider it, you add value. And the managers of those businesses where we are the leader have the most time available to work with an acquisition to make it work, and the ones who are in the areas of deficiency have the least time available and the least excess resource, so they are the least likely to make it successful. So we acquire a strength, and then we look for cases where we add value to the acquire, and that usually means distribution channel, but sometimes, it’s not. In fact, we’ve had a number where they had a piece of a solution and we had the rest of the solution, and they just came up to a limit on solving the problem without either licensing or acquiring a bunch of other things and found it better to join with us. The last thing is we look at the cultural aspects of who is joining the company, who is it critical to keep around, why they will be motivated to do so—and if there’s no fit, if this is everybody cashing out, then there needs to be a sustainable asset left behind. If the people are really motivated to join, and they’re there because we can help them take their little winner and make it into a big winner, then it’s much more likely to be a successful acquisition.

SE: Have there been situations where that strategy has not worked?

Rhines: M&A is a high risk area, so the strategy is an enabler but it’s not a total guarantee. There are none I would point to and say that we did those things and one of them was wrong, but I can point to some and say we did all these things and it still didn’t work out very well.

SE: Do you want to share which ones didn’t work out?

Rhines: I remember quite a while ago – 15 years ago – we bought a cycle-based simulator and we only had to sell 100 copies to break even on the deal. We sold 1 copy. It wasn’t a big acquisition but you can just imagine the discussion among the management. The thing that’s remarkable is we used to have a lot more criteria. In fact, we had 10 criteria we measured everyone against and we did a regression analysis later and found the correlation was not obvious, so we reverted back to these basic principles. Those 10 points had been developed from industry quantitative data for other industries, so they were things like don’t acquire a company that is 10% of your revenue in any given space because it’s hard to integrate. Those were things that seemed very logical, but there was no correlation. It didn’t say that they were wrong, just that you could be okay on 9 out of 10 and still not have the thing work.

SE: Which ones have done the best or which ones are you most proud of?

Rhines: The big stars of recent times have been thermal analysis and LogicVision, both of which were extremely successful.

SE: What inspires you as a leader?

Rhines: It’s the satisfaction of taking on challenges, worthwhile tasks and seeing the results, seeing the satisfaction that goes to all the people that participate – that’s what motivates most people in my kind of position.

SE: What are you most proud of in terms of your contribution to EDA?

Rhines: I took over Mentor Graphics in 1993, which was the low point of our revenue. Mentor had cash flow problems, and a big problem with a whole generation of product that wasn’t successful: Version 8.0. Most of the people in the industry thought Mentor would go the way of all EDA software companies at that point, because if you miss a generation there’s no turning back – it’s pretty hard. So, I would have to say it was bringing Mentor through the valley of death to become a leader again and then creating a path for the future.

SE: What do the next generation EDA startups need to focus on?

Rhines: New problems. Startups are an important part of the industry ecosystem. We need more startups. It’s not expensive to start an EDA company so I don’t think it’s the limit on venture capital. And, in fact, a large share of them aren’t venture-capital funded, anyway. It is true that venture capitalists tend to follow very rapid growth evolving industries, such as social networking, cloud, the IoT – whatever the buzzword of the day is – rather than industries that have been around longer. But I don’t think that’s what’s limiting the number of startups. It’s more that there’s been a drain of key resources into some of these other areas, so people who do startups are doing them in areas that look like they offer more attractive growth potential. But more important than that is just the number of problems that turn up that need to be solved, because it’s just a waste of time for new startup EDA companies to solve problems that are already being handled well for customers and they need to look beyond – and the successful ones almost all do.

SE: How can the EDA startup activity be encouraged? Is the venture capital community investing in EDA startups right now?

Rhines: Venture capital investment is way down. It peaked about 1999 to 2000, and it’s been declining. It’s down to $15 million or $20 million of venture funded – the venture funded ones are down, but angel and self-funded are such an important part. You can monitor that trend and it has meaning, but I don’t think it’s the whole story. There is money available from both angels or there are people who will self fund an EDA startup if they believe the opportunity is significant enough. You need new problems turning up and you need companies that need best in class solutions. If the companies are satisfied with whatever they are using, then they won’t dissipate resources evaluating new ways of doing things. That’s what makes Mentor unique. While we now do provide an entire flow — we provide everything you need, front to back, for an integrated circuit – we don’t try to force customers to buy tools in packages or together, lumping dogs with stars or things like that. We make everything stand on its own.

SE: So is the notion of getting the best efficiencies from a single vendor flow just marketing?

Rhines: That’s absolutely just marketing. In fact, the data argues that, first of all, you absolutely don’t. You get the best solution from best-in-class tools. The second thing is cost, if you look at the real cost internally. Trying to buy a whole solution from a single vendor means you get some good products and some bad products and you waste enormous resources trying to make the bad products work and trying to integrate them, because the big companies are made up of acquisitions of a bunch of other companies so there was never any grand vision for the architecture. When I ask people who has the best integration, who works in all the different flows equally well? They say, the startups, of course, because they don’t sell anything unless they work with Cadence, Mentor and Synopsys. So they are well integrated and they are our model.

SE: While it is might be possible, in theory, to make revolutionary changes rather than evolutionary ones in EDA technology, it seems that business reasons hold that back. What are your thoughts on this?

Rhines: It’s a frustration for us because, of course, we would like people to reevaluate what they have and look at new alternatives at all times. Then our strengths will show up, and our weaknesses will show up, and we’ll be able to grow the strengths and minimize the damage from the weaknesses. I’ve concluded, after 20 years in EDA and more than that in total semiconductor, that you really need to look for cases where the existing methodology is breaking because people are so resistant to changing the way they are doing things. So either a new problem arises that you can’t solve with the existing approach, or the existing approach simply doesn’t work anymore. In 1996, physical verification broke down because designs got too big and you had to go to a hierarchical approach, and that’s not something the existing tools at the time could adjust to, and so Mentor introduced Calibre and ISS introduced an approach, and so on. But the whole industry flipped in a just a matter of a few years because the existing methodology totally broke. It didn’t get slow– you could run them forever and it would never run to completion. That’s the kind of thing we like to see. That’s what happened with emulation also, by the way. What happened with emulation is simulation was good enough right up until a few years ago, and people who did emulation did in-circuit emulation so they had a full-time technician running the machine, plugging in cables—it was relatively unreliable, and if you really needed it, you could use it but it just didn’t take off. Then what happened, a few years ago, was the biggest chips got to the point that you honestly could not do full-chip functional verification through simulation. The server farms now were multicore, so you weren’t getting perfect scaling anymore, and the absolute size of them became so complex. And then throw in software, add it all up, and you just couldn’t do it with simulation alone. Then the leading-edge chips all started adopting emulation and we were in sort of a unique position then. That’s how we added a major chunk of revenue to Mentor Graphics. That’s really the biggest financial success of the last three years.



1 comments

Mark Sitkowski says:

I used to work for Mentor Graphics (Singapore). Great company, great people, and one of the most enjoyable projects I ever worked on.

Leave a Reply


(Note: This name will be displayed publicly)