Fab Tool Biz Looks Cloudy

How 2016 is expected to shake out, and where are the brightest spots.

popularity

Amid a slowdown in the foundry and DRAM sectors, the outlook for the semiconductor equipment industry looks somewhat cloudy, if not challenging, in 2016.

In fact, for equipment vendors, 2016 could resemble the lackluster year in 2015. In 2015, for example, capital spending in the foundry sector fell during the year, although NAND flash began to pick up steam.

In 2015, though, the big story was fairly apparent. In April, Applied Materials’ proposed acquisition of Tokyo Electron Ltd. was scrapped due to regulatory issues. Then, in October, LAM Research grabbed the headlines by entering into a definitive agreement to acquire KLA-Tencor for $10.6 billion.

Going forward, 2016 could be another big year on the acquisition front. But it could also be a relatively sluggish year for the equipment industry. “In general, our estimate is for wafer fab equipment spending in 2016 to remain flat relative to 2015, with some potential upsides,” said Arthur Sherman, vice president of marketing and business development at Applied Materials.

One area of concern is the foundry segment. Equipment vendors are banking on new tool orders from foundries for the 10nm node in 2016, but the ramp could take longer than expected. “We believe concerns over customer demand at 16nm/14nm and inventory will lead to a slower ramp of 10nm,” said Patrick Ho, an analyst with Stifel, Nicolaus & Co. “We believe 10nm projects have pushed out somewhat into 2017.”

In addition, capital spending for memory is a mixed bag. Advanced packaging is a bright spot. And the used/mature equipment segment continues to pick up steam. “Demand for power management, RF, MEMS, CIS and sensors will continue to grow in 2016,” said Joanne Itow, an analyst with Semico Research. “This will drive the demand for more mature technology capacity.”

To get a grasp on the trends for the equipment business in 2016, Semiconductor Engineering has taken a look at several markets, such as photomasks, foundry, memory, and used gear.

The numbers and consolidation
To be sure, 2016 appears to be a mixed bag. David Jensen, vice president of strategic marketing at GlobalFoundries, projects that the overall IC market will decline by more than 1% in 2015, but the chip business could rebound and grow by around 3% in 2016. In addition, the foundry business will grow by 6% to 6.5% in 2015, with another 5% to 7% growth projected for 2016, Jensen said. “Generally speaking, across the board, there is a little bit more bullishness about 2016,” he said.

In 2015, the wafer fab equipment (WFE) market is projected to reach between $31.5 billion to $32 billion, or flat to slightly down from 2014, according to Ho from Stifel, Nicolaus, who attributed the lackluster figures on a reduction in foundry spending.

Citing another sluggish year for foundry spending, Ho recently cut its overall WFE forecast in 2016, from $34 billion to $32 billion. “The biggest variables heading into 2016 are foundry spending, which is on the downside, and NAND flash spending, which is on the upside,” he said.

Besides a flat year for capital spending, Ho also sees more consolidation in the equipment business. In reality, the shakeout in the equipment market started in the 1980s. In those days, a multitude of chipmakers had what was then considered advanced fabs.

Then, at 90nm, fab and tool costs soared. Fewer chipmakers could afford to build advanced fabs. Many IDMs, or chipmakers with fabs, ditched their plants and embraced the foundry model. And over time, a select few, leading-edge chipmakers with deep pockets emerged. But even those vendors face some challenges today. Chip scaling is becoming more expensive and difficult at each node. On top of that, the node transitions are slowing.

In any case, the dwindling base of IDMs translated into fewer companies that could afford to buy leading-edge semiconductor gear. This, in part, fueled the shakeout in the equipment industry.

More recently, there are other factors at play. Generally, the smaller equipment vendors lack the resources to advance their product roadmaps. And so, the larger tool vendors are gobbling up the smaller firms to expand their portfolios.

All told, the fab tool shakeout is far from over. “There should be more M&A in the group, particularly among the small cap companies,” said Ho from Stifel, Nicolaus. “We have noted for a long period of time that the process control marketplace needs to consolidate with many small niche players, like Nanometrics, Nova Measuring Instruments and Rudolph Technologies, all at similar revenue levels. We also believe other niche players like Ultratech and Axcelis also need to find potential partners that can better position their growth going forward.

“While there is always the sentiment of hoping for a larger player to take one of these companies out, we believe the better interim story is to partner with one another, and we mean where the synergies fit, to create a larger scale company that can compete more effectively in today’s market environment,” Ho said.

Mask shop trends
To be sure, the IC equipment and materials sectors are tough businesses, especially one critical part of the supply chain—photomasks. In total, the photomask industry is expected to reach $3.4 billion in 2016, up from $3.3 billion in 2015, according to SEMI.

As before, photomask makers continue to migrate to the next nodes. But mask production is becoming more complex and expensive at each stop. In addition, mask complexity is also increasing.

For example, foundries are ramping up their 16nm/14nm processes, with 10nm just around the corner. “2016 will see a growing number of 10nm design starts, which will bring a number of issues to the mask industry,” said Aki Fujimura, chief executive of D2S. “Tighter process windows, increasingly complex mask shapes, smaller assist features and curvilinear mask features will drive new requirements for mask making, inspection and repair.”

Fujimura also sees other mask-related developments in 2016. “For example, the need for inverse lithography technology (ILT) will lead to increasingly complex masks. Mask write times will continue to increase, and we’ll also see slower and more accurate resists,” he said.

For years, the biggest problem in the mask shop is write times, which are increasing at each node. The problem? Single-beam e-beam tools are unable to keep pace with complex masks.

The solution: multi-beam e-beam mask writers. In fact, the IMS-JEOL duo and NuFlare could separately ship the industry’s first multi-beam mask writers in 2016.

In addition, the photomask industry could see the long-awaited insertion of ILT. ILT introduces new sub-resolution auxiliary features on the mask, which boosts pattern fidelity.

“2016 looks to be a strong year for captive mask houses as they ramp for 10nm. A significant number of masks are (also) moving to an inverse lithography format,” said Takuji Tada, senior manager of corporate strategy and marketing at KLA-Tencor. “The move to inverse lithography masks has created a new demand for more stringent inspection and metrology in the mask shop.”

Foundry spending falls
On the fab side, equipment makers hope for a rebound in the logic and foundry sectors in 2016. Led by Intel, the WFE market for logic is projected to increase by 10% to 15% in 2016, according to Stifel, Nicolaus. But on the downside, the WFE market for foundries is expected to decline 5% to 10% in 2016, according to the firm.

“We believe foundry will experience modest growth next year, primarily characterized by some 28nm investment, some trailing edge, some capacity additions for 14nm and 16nm, and the beginning of 10nm investment,” said Satya Kumar, vice president of corporate marketing at Lam Research. “We believe our customers are on track to ramp 10nm investments in the second half of 2016.”

Others agree. “Calendar year 2015 saw the lowest foundry spending levels in the past four years,” Applied’s Sherman said. “We anticipate investment levels will be slightly higher for 2016, with most of the spending happening in the second half of the year. More than 50% of this investment will be focused on ramping 10nm technology. For foundries, 10nm differs from the 16nm node, as significant changes are being made with respect to the finFET and interconnect to improve device performance and power consumption.”

There are other bright spots. Chipmakers will extend 193nm immersion and multi-patterning to 10nm. This will fuel the growth for deposition and etch.

Process control also could see a boost in 2016. “The increased need for process control is driven by higher process complexity of multi-patterning and vertical structures used in logic and memory,” KLA-Tencor’s Tada said.

Meanwhile, behind the scenes, foundries are also developing 7nm. At 7nm, vendors hope to insert extreme ultraviolet (EUV) lithography. This, of course, depends on the status of the power source, resists and EUV mask infrastructure. “While EUV will not be arriving in 2016, the industry has a lot of work ahead to make sure the infrastructure is ready for it when it does arrive,” D2S’ Fujimura said.

Memory Lane
Like the foundry/logic sectors, it’s a mixed bag for memory. “Overall, we support the opinion of flat to slightly down WFE in 2016,” Lam’s Kumar said. “Taking a look at the segments, we expect the memory market to be down, which is mostly a result of DRAM. However, within DRAM, we expect to see 20nm conversion spending and some initial 1xnm spending. We think that the weaker overall DRAM will be offset by growth in NAND, primarily relating to 3D NAND.”

The WFE market for DRAM is projected to fall by 10% to 20% in 2016, according to Stifel, Nicolaus. But thanks to strong SSD demand, the WFE market for NAND is expected to grow by 10% to 20% in 2016, according to the firm.

While planar NAND remains robust, 3D NAND continues to generate steam. In total, the installed capacity of 3D NAND is projected to grow from about 150,000 wafer starts per month in 2015, to 300,000 by the end of 2016, according to Stifel, Nicolaus.

“We believe that 3D NAND has a long technology roadmap and we are still in the early innings,” Lam’s Kumar said. “We expect about a quarter of the industry capacity converted to 3D NAND by the end of 2016.”

Used tool demand
Amazingly, the used/mature equipment market remains robust in select businesses. “We have been experiencing strong demand growth for our equipment for larger design rules to support automotive, industrial, sensors, and various IoT applications,” KLA-Tencor’s Tada said.

For these applications, chipmakers use both 200mm and 300mm tools, many of which are used and/or refurbished.

In either case, buyers of used equipment will need to keep a close eye on the market. “A few used equipment vendors stocked up on tools at the end of 2014 and managed to have a very good year selling that stock throughout 2015,” Semico’s Itow said. “Transactions are slow now. It could be a seasonal slowdown.”

What about 2016? “Some vendors are already taking orders for next year and used equipment vendors are stocking up as the ‘More than Moore’ IDMs continue to plan their expansions and transitions,” Itow said. “There isn’t much 200mm equipment inventory just sitting around (today). So it is slightly more difficult to get some tools. If the market picks up in early 2016, things could get tight leading to slightly higher prices. Used equipment vendors are still not seeing much activity in 300mm tools. That is because 300mm tools are being sold directly, such as IDM to IDM, or IDM to a foundry.”



1 comments

cd says:

Great article Mark! It is hard to make forecasts these day with all these consolidations.
But look out for some possible upward swing for 2016! We, SEMI, estimate fab equipment spending (including used equipment) up from about flat in 2015 to 2.6% (YoY) for 2016. We expect that foundry (especially) TSMC will increase capex and more investment 10nm and for 3D. Also increasing activity for power.
http://www.semi.org/en/node/59996

Leave a Reply


(Note: This name will be displayed publicly)