Filtering Out Fab Problems

Entegris’ CEO talks about materials purity down to parts per quadrillion, and what impact that has on manufacturing yield at advanced nodes.

popularity

Bertrand Loy, president and CEO of Entegris, sat down to discuss the semiconductor industry, process challenges and filter technology with Semiconductor Engineering. What follows are excerpts of that conversation.

SE: What is the outlook for the IC industry?

Loy: A lot of positive things are happening. Eighty percent of what we do are consumables, which would be chemistries and filters. They are used in other functions in a number of wafers being made. And wafer starts have been good. It’s because the industry is being driven by a number of interconnected demand drivers. In the past, it used to be a few drivers like PCs, tablets and smartphones. But now, you have applications like AI and others. Servers are really driving the business. Memory has also been driving wafer starts.

SE: What type of memory?

Loy: For us, has been a blessing, because those technologies are a lot more complex than DRAM and 2D NAND. Suppliers of 3D NAND are looking for more performance materials with better electrical properties. They are increasingly focused on the purity of those materials in an attempt to improve the yields.

SE: In a fab, unwanted particles and contaminates are sometimes introduced in the chemicals, water and process materials. Entegris makes various products to prevent that, including filters and purification systems. What is Entegris trying to achieve in the process flow?


Fig. 1: Types of filters. Source: Entegris

Loy: The metrology equipment will show all sorts of conformity issues in the structures and contaminants. Then, we work with the fabs to identify where those contaminants are coming from and then find ways to prevent that from happening again. So, we are trying to eliminate those contaminants. We try to cure the problem by selectively removing contaminants, whether they are debris or metals. They could be bubbles.

SE: So the processes, materials and structures must reach a certain level of purity, right?

Loy: When you think about purity, you need to think about purity as the size as well as concentration levels of those contaminants. For semiconductor processes, it’s really mind boggling. You’re talking about after the cleaning step, the per wafer spec is no more than four 20nm particles left on the wafer. It’s the equivalent of one Euro coin left in the whole country of Belgium.

SE: What are the requirements here?

Loy: If you think about concentration levels, the industry is really pushing to parts-per-quadrillion (ppq) levels for metals. One ppq is the equivalent of one drop of water over Niagara Falls in 49 days. What we are being asked to do is selectively remove those contaminants while letting all the good molecules go through.


Fig. 2: An average of 4 million cubic feet of water flow over Niagara Falls every minute. Filtration down to 1 ppq  is the equivalent of finding and removing one drop of impurity from this flow over 49 days. Source: Greenwich Mean Time

SE: Has the metric always been ppq?

Loy: It used to be parts per trillion or million. Now, for certain type of contaminants, we are looking at ppq.

SE: What are the other challenges?

Loy: Today, the challenge for the semiconductor industry is about formulating unique engineering materials. You need them at the greatest level of purity. Once you do that in your manufacturing process, then the next challenge is transporting those chemistries from the point of manufacturing all the way to the point of use in the fab.

SE: This requires various filters. A filter sounds like a simple technology. Or is it?

Loy: Developing advanced filters is really hard to do. But they are becoming increasingly important for the industry. As you push from 20nm to 10nm to 7nm, those contamination challenges are becoming a lot more complex to solve.

SE: Can you describe a filter?

Loy: We have gas filters for dry processes. We have liquid filters for wet processes.

SE: Filters are smaller items with tiny membranes, right?

Loy: That’s for the liquid filters. The gas filters are stainless steel components. The liquid filters would look like what you have under your sink. But inside, it’s very different. You have membranes with greater levels of sieving and retention properties. We are also doping the membranes. We embed purifiers. So it’s a physical separation technology as well as a chemical separation technology. We are able to develop solutions to very selectively remove what you are going to be concerned about and what is going to get in the way of your yields.

SE: Do you install filters in all modules and tools in the fab?

Loy: You would use filters in the sub-fab. Think of that as bulk filtration. Then, as you get to the tools and closer to the wafer, you are going to have a different series of filters, all the way to the final filter at the point of dispense. If it’s a wet tool, track or a CMP tool, it would be liquid filters. If it’s a CVD tool, it would be a gas filter or a series of gas filters.

SE: What types of problems can occur in a process flow?

Loy: Let’s say you have a 20nm device. If you put a 10nm contaminate on it, the electrons may not travel very efficiently, but they will travel. It’s not going to kill your yield. It may reduce the performance of your chip, but it’s still going to be a good chip. Now you move from 20nm to 10nm, and suddenly this is a yield killer. It’s a killer defect. Back in 20nm you wouldn’t care about that 10nm contaminate. At 10nm, however, you are going to be concerned about a 5nm contaminate. So the size will change. They will continuously become smaller and smaller. The concentration levels will become more and more stringent. And then the other thing we would see is that every material could be susceptible to different species of contaminates. So you need to worry about more and more types of contaminates.


Fig. 3: Semi process steps vs. allowable 20nm particles/mL chemical. Source: KLA-Tencor, ITRS

SE: What’s the biggest problem out there?

Loy: It’s a bunch of things, especially around the liquid processes. The liquid processes are dirtier. Those liquid filters will need to be used for the new wet etch clean solutions, the new generation of slurries, and photoresist materials. All of the chemistries that we use in the process need to be purified.

SE: What else?

Loy: Metal contaminates have been one of the big concerns for the industry. So the ppq requirement is really targeting metal contamination. We need to selectively remove those ions from the chemistries.

SE: What are the solutions?

Loy: When you need to get to that very high level of purity, it’s no longer sufficient to start dealing with that in the fab environment. You just can’t cure the problem within the fab. You have to start to think about and take preventative measures much earlier. So as a semiconductor manufacturer, you will have to impose a higher degree of cleanliness on your chemical, resist and slurry suppliers, as well as suppliers of cleans. So today, we are working upstream in the supply chain to develop acceptable filtration solutions to help everybody get to that next level of purity.

SE: How do you find the problems?

Loy: It starts with the image of a wafer using some of the metrology tools in the industry. In many cases, when you think about the resolution of some tools, it’s 19nm. But we are worried about contaminates at 5nm in size. So we don’t really see them. We can only speculate the types of contaminates that may get in the way. So we are designing some experiments with our customers. We want to see if we try and remove those types of contaminants, would that help and are you going to get a better yield on your wafer or not. Those developments are becoming increasingly a partnership between Entegris and the fab customers.

SE: That is very challenging, right?

Loy: Right. But again, the customer needs a solution. I hope the industry comes up with a better resolution tool soon. The industry needs to see past 19nm, but we don’t.

SE: Any concerns about EUV?

Loy: It’s going to present more challenges. EUV is going to be such a sensitive process. The intersect point would be potentially at 7nm. It means smaller and smaller features. So, smaller and smaller contaminates are going to become a problem. We are already working on all sorts of filtration and purification solutions for that as well.

SE: So the industry needs to address the problems in all parts of the supply chain, right?

Loy: What it means is that more participants need to start thinking about contamination control earlier and in a more proactive way. Remember, one excursion can shut down a fab. An uninterrupted supply chain is really the lifeblood of this industry. A lot of it has to do with the integrity and purity of the materials.

SE: What about the cost of doing this?

Loy: The economics are going to be a challenge, like always in this industry. It’s not just about finding the technologies and solving the problem. The question for us as an ecosystem in the chemical and materials supplier arena is going to be about, ‘Can we make money? Can we offset the cost of cleaner processes and advanced metrology?’ I believe we can.

SE: What else?

Loy: Our customers are consolidating. Our suppliers are very large chemical companies. We are going to be squeezed in the middle unless we do something about it. So if you look at Entegris, M&A has been part of our strategy. The scale we gained when we acquired ATMI in 2014 has helped us find a way to reconcile the fact that we needed to spend more in R&D and CapEx. But at the same time, we managed to still improve our bottom line. We would not have been able to do that without having a bigger scale.



1 comments

Ranjay LAHA says:

Very useful information.

Leave a Reply


(Note: This name will be displayed publicly)