Finding Defects Is Getting Harder

If the current inspection technology runs out of steam at 10nm, what will take its place?

popularity

Chipmakers are plotting out a strategy to scale the transistor to 10nm and beyond. Migrating to these nodes presents a number of challenges, but one issue is starting to gain more attention in the market—killer defects.

Defects have always been problematic in the yield ramp for chip designs, but the ability to find them is becoming more difficult and expensive at each node. And it will be especially challenging at 10nm and beyond.

Today, there are two basic tool technologies to find defects—optical and e-beam wafer inspection. Optical inspection, the workhorse technology in the fab, is being stretched to its limits at advanced nodes. And e-beam inspection can find tiny defects, but it remains slow.

Looking to address the problem, KLA-Tencor is readying its next-generation optical wafer inspection tool. Initially disclosed at the recent Semicon West trade show, KLA-Tencor said the tool consists of a source capable of wavelengths down to 190nm, compared to 257nm in the current system.

In addition, there is also a new wave of activity in the e-beam camp. For some time, several companies have been working on a new class of multi-beam e-beam inspection tools for advanced nodes.

Now, Japan is looking to launch a new government-sponsored initiative that involves single- or multi-beam e-beam inspection, according to multiple sources. Japan’s NuFlare may be part of the project, although NuFlare could be working on a single-beam product, sources added. NuFlare declined to comment.

But will chipmakers use optical or e-beam inspection to find killer defects at 10nm and beyond? To be sure, the two technologies are headed on a collision course. E-beam inspection, which has mainly been used for engineering and R&D, wants to play a bigger role in the production fab. Meanwhile, the optical camp, which wants to protect its installed base in the fab, says optical is the way to go.

“We have to provide a solution with higher sensitivity and wafer (throughput),” said Keith Wells, senior vice president and general manager for the WIN Division at KLA-Tencor, in a recent presentation. “It has to be cost effective. That’s optical inspection. We still believe that’s the best way to go forward.”

Still, chipmakers may require both optical and e-beam inspection at advanced nodes. “No single tool can do everything,” said Jack Jau, chief executive of Hermes Microvision, the leading supplier of e-beam inspection tools.

Inspecting the market
In total, the wafer inspection market is a $2.1 billion business, according to KLA-Tencor. Of that figure, optical inspection represents $1.85 billion of the total market, according to KLA-Tencor. E-beam represents $250 million of that business.

In a recent presentation, Rick Wallace, president and chief executive of KLA-Tencor, said that wafer inspection can be divided into four segments—engineering analysis; critical line monitoring; line monitoring; and tool monitoring.

E-beam inspection is mainly used for engineering analysis in the R&D groups. Meanwhile, optical inspection is used for line and tool monitoring in the production fab. Critical line monitoring finds the most critical defects in patterned wafers. Line monitoring also detects defects in patterned wafers.

In tool monitoring, bare wafers are processed in the fab. The inspection tool determines if a given piece of fab equipment is the root cause of defects on the wafer. If so, the tool or the entire line can be shut down.

Basically, e-beam and optical inspection are geared to find defects, but the two technologies tend to solve different problems. “There are certain types of defects that e-beam will see like electrical defects,” KLA-Tencor’s Wallace said. “But that’s not (the big part) of the market. Most of the market is what is called small physical defects.”

In electrical or voltage contrast defect applications, the e-beam inspection tool looks for buried defects, as well as unwanted opens or breaks in the structure.

The bigger challenge is to find physical defects, many of which are killer defects that could make or break a given IC design. Physical defects include voids, protrusions, bridges and other patterned defects on complex IC devices.

The emergence of 3D NAND and finFETs presents some new and difficult challenges for wafer inspection. “In a planar device, you can see every defect,” said Lior Engel, vice president of strategic marketing for the Process Diagnostics and Control Business unit at Applied Materials. “With 3D devices, the defects are becoming more embedded. You don’t have a line of sight to these defects. In addition, chipmakers also want to put as much stuff on the chip as possible. You may have SRAM, embedded DRAM and dense logic. When you optically hit the device with light and collect it, each one may behave differently. On top of that, you have more and more materials. So you may miss defects.”

The solutions
Optical inspection is the incumbent technology in the fab. The workhorse optical technology is called brightfield inspection. Brightfield collects light reflected from a defect. In turn, the defect appears dark against a white background.

Considered the leader in brightfield inspection, sometimes called broadband plasma, KLA-Tencor’s current optical inspection tools have wavelengths down to 257nm.

Generally, optical inspection can find defects down to 30nm. The grey area for optical is somewhere between 20nm to 10nm, but the technology is being stretched to the limit below 10nm, according to experts.

“We can actually go down to 10nm today (with existing tools), but that’s with some big tradeoffs,” KLA-Tencor’s Wells said. “One, it gets a lot slower. We can probably do that at maybe a half a wafer an hour and maintain 12 sigma types of guard band.”

But at 10nm and beyond, chipmakers want an improved signal-to-noise ratio and faster throughputs. Traditionally, the throughputs for critical line monitoring are 1 to 2 wafers an hour.

To accomplish those goals, KLA-Tencor is extending optical by readying a new optical inspection tool with wavelengths down to 190nm. The shift from 257nm to 190nm in inspection follows the same basic principles as lithography.

In simple terms, the resolution of a tool is improved by moving to shorter wavelengths. So, in theory, 190nm enables higher resolutions than 257nm and above. “Unlike a lithography tool, we are not imaging or printing devices. But we have to image CD effects,” KLA-Tencor’s Wallace said. “The challenge is to find the defects of interest, but at throughputs that are (suitable) in getting it into production.”

The new tool from KLA-Tencor is in the alpha stage and expected to ship in 2016. “We are starting to see results,” KLA-Tencor’s Wells said. “We are starting to see the ability to find patterned defects below 10nm.”

Meanwhile, others believe that e-beam will play a bigger role in fab. “For the last 15 years, e-beam inspection has been used with great success for detection of electrically active defects using voltage contrast inspection,” said Oliver Patterson, an e-beam inspection expert, in a recent presentation. (Patterson, who was with IBM at the time of the presentation, is now a senior technical staff member at GlobalFoundries.)

“In the past three years, the use of e-beam inspection for detection of physical defects has become very popular for defect types that have proven difficult for broadband plasma inspection, otherwise known as brightfield inspection,” Patterson said. “Advantages that e-beam inspection offers over broadband plasma include higher resolution due to (a) 100x smaller minimum pixel size, the ability to classify defects based on patch images, and inherent prior-level defect filtering. Despite these advantages, the scope of e-beam inspection’s applicability for physical defect detection is limited because of major throughput limitations.”

Today’s e-beam inspection tools are single beam systems. In e-beam inspection, the wafer moves in one direction. The e-beam scans the wafer in a perpendicular direction. The electron beam hits the surface and creates multiple signals. The signals used for inspection are secondary electrons.

E-beam can find the smallest defects and has sensitivities down to 3nm or lower, but e-beam inspection is slow. In some cases, optical inspection is 1,000 times faster than e-beam.

One way to speed up single beam tools is to boost the energy levels of the electrons. Another way is to inspect only small parts of the wafer that may be problematic based on simulation studies.

Still, the industry wants multi-beam inspection. In theory, this technology can not only find defects down to 2nm, but it is also faster than today’s single-beam e-beam tools.

For some time, Applied Materials, Hermes, Maglen, Multibeam and Sematech/Zeiss have been working on the technology. Now, NuFlare and NGR have begun co-developing the technology.

But multi-beam e-beam is a difficult technology to develop. First, the beams tend to repeal each other and are difficult to control. Second, there are data throughput problems. And finally, a viable multi-beam e-beam tool might not be ready until 2020 or so.

“Incorporating 10 to 100 columns in a tool could potentially increase the tool scan rate up to one to two orders of magnitude,” said e-beam expert Patterson. “These ventures are hardware intensive and require a massive amount of investment from interested tool manufacturers.”

In multi-beam, there are two schools of thought. Some are working on tools using a limited number of beams. Others are developing massively parallel tools that consist of a multitude of beams.

In both cases, the ability to develop a viable tool depends on the implementation of the technology. “The key to multi-beam is how you split the beams,” said David Lam, chairman of Multibeam. Multibeam itself is developing a multi-beam tool for lithography, but the company is also exploring inspection technology as well.

In fact, there are two types of multi-beam e-beam architectures—multi-column and multi-beam, according to Sematech and Zeiss. Multi-column is where the number of beams is increased by adding additional columns, according to the companies. In contrast, multi-beam is where a single column contains a large number of individual beams.

Sematech and Zeiss are co-developing a tool based on the multi-beam approach. Others are following a similar path. In multi-beam, the beams are easier to control. In contrast, multi-column enables the highest current per beam, but controlling the beams is problematic.

For the Sematech/Zeiss project, the idea is to take Zeiss’ existing MultiSEM electron microscope and adapt it for wafer inspection. “Today, we offer the MultiSEM 505 for sale, which is a lab tool for the academia market with 61 beams. This tool showcases the capabilities of multi-beam technology as it is available today, but it does not fill all the needs for a wafer inspection system. The plan for the MPEBI project as presented by Sematech at SPIE 2015 is to have a high-volume manufacturing demo tool ready in 2019,” said Gregor Dellemann, vice president of Zeiss.

Time will tell if multi-beam works. If vendors fail to deliver these systems, chipmakers may need to rely on next-generation optical tools. But clearly, chipmakers want choices. To find the next wave of killer defects, they may need to throw every technology at the problem.



1 comments

Sree says:

Very informative and technically astounding.
Need more of these kind.

Leave a Reply


(Note: This name will be displayed publicly)