GF Puts 7nm On Hold

Foundry forms ASIC subsidiary as it focuses on 14nm/12nm and above.

popularity

GlobalFoundries is putting its 7nm finFET program on hold indefinitely and has dropped plans to pursue technology nodes beyond 7nm.

The moves, which mark a major shift in direction for the foundry, involve a headcount reduction of about 5% of its worldwide workforce. At the same time, the company is also moving its ASIC business into a new subsidiary.

As a result of GlobalFoundries’ announcement, there are only three foundries that will provide 10nm/7nm technologies in the near term—Intel, Samsung and TSMC. There are also fewer foundry customers that can afford advanced nodes. This, in turn, has some ramifications throughout the equipment industry and supply chain.

GlobalFoundries, which originally announced its 7nm plans in 2016, said that it put the technology on hold amid escalating costs and a move to improve its bottom line. Other foundries are moving forward in the emerging the 10nm/7nm market, and are even ramping up the technology, although GlobalFoundries has decided not to pursue 7nm, at least for now.

“We are parking it,” Gary Patton, CTO of GlobalFoundries, said in an interview with Semiconductor Engineering. “I can’t say that we wouldn’t came back and look at it, especially if there are some opportunities for partnering. At the moment, we have no plans to pursue it.”

GlobalFoundries also is restructuring its R&D efforts. This will require a workforce reduction of about 5%. However, a number of R&D personnel will be shifted to the company’s existing efforts at 14nm/12nm and other technologies.

All told, instead of 7nm and beyond, GlobalFoundries will focus on its current 14nm/12nm finFET technologies, 22nm FD-SOI, RF and other technologies. This includes RF SOI, silicon-germanium (SiGe) and analog/mixed signal. Advanced packaging is also a key part of the company’s strategy. Basically there are two versions of 12nm. GlobalFoundries and others are ramping up an extension of 14nm finFET, which is 12nm finFET. Then, GlobalFoundries is ramping up 22nm FD-SOI. The next version is 12nm FD-SOI.

“We are shifting our resources and focus by doubling down on our investments in differentiated technologies across our entire portfolio that are most relevant to our clients in growing market segments,” said Thomas Caulfield, chief executive at GlobalFoundries, in a statement.

GlobalFoundries signaled that it would make some changes in March, when the company named Caulfield as its new chief executive. At the time, Caulfield, senior vice president and general manager of the company’s New York fab, replaced Sanjay Jha, who resigned. Part of Caulfield’s mission is to reshape the company and improve the bottom line.

“(At GlobalFoundries) the focus is on differentiated products. How do we differentiate and provide value to our customers, and not just provide something everybody is doing? So to be able to do that, we need to be able to free up dollars to focus on this differentiated technology portfolio,” GlobalFoundries’ Patton said.

New directions
The moves also represent a major change in strategy. In 2016, GlobalFoundries originally annnounced plans to enter the 7nm finFET foundry market. At the time, Samsung and TSMC also announced plans for 7nm, while Intel was readying a 10nm finFET process. (Intel’s 10nm process is roughly equivalent to 7nm from the other foundries.)

In its original plan at 7nm, GlobalFoundries said it would pattern the various devices using today’s 193nm immersion and multiple patterning technologies. Then, the company planned to insert extreme ultraviolet (EUV) lithography at 7nm.

TSMC is still pursuing that approach. Samsung, meanwhile, hopes to get EUV lithography in production at the initial stages at 7nm. Intel has only stated it will use EUV when it is ready.

Earlier this year, TSMC took the lead in the process race over its rivals by shipping its 7nm finFET technology. TSMC plans to have more than 50 customer product tape-outs by year’s end.

“Regarding 7nm, TSMC now expects 7nm to account for 10% of wafer revenue in 3Q, and more than 20% in 4Q,” said Michael McConnell, an analyst at KeyBanc Capital Markets, in a recent research note. “In addition, the company noted that: 1) the TAM of 7nm is expected to be bigger than 16nm’s due to AI, 5G, and HPC; 2) there will be a server CPU project on 7nm in the 2H, although TSMC did not disclose whether it was ARM or x86 based; 3) 7nm yields have been improving faster than prior generations.”

Intel, meanwhile, continues to fall behind in the process race. Originally, Intel was supposed to ship its 10nm technology by late 2017. It has shipped limited volumes at 10nm, but it has delayed mass production twice. “Due to slower-than-expected progress on yields, Intel stated that volume production of 10nm processors has been pushed out to 2H19 from prior expectations of 2H18,” McConnell said in a research note.

Samsung has yet to ship 7nm, while GlobalFoundries has put its 7nm technology on hold. AMD, a big customer for GlobalFoundries, is moving its 7nm production to TSMC. GlobalFoundries will continue to handle 14nm/12nm finFET production for AMD.

“Bleeding-edge is becoming increasingly challenged. The number of players going into these advanced nodes has dropped significantly as result of the dramatic increasing costs to design in these leading-edge technologies,” GlobalFoundries’ Patton said. “The revenue story is a bit challenging. And then you look at the R&D cost piece. The R&D cost of these leading-edge nodes has been going up exponentially.”

Then, you need to keep pouring more resources into the technology. “If we look at this, it’s problematic from a return on investment perspective. It’s consumes a very large portion of an R&D budget,” Patton said. “It just doesn’t make sense for us to continue our work on leading edge technology. But instead, (GlobalFoundries plans) to focus our efforts and energies on differentiated technology offerings on all of our platforms.”

Instead, the company will shift its dollars and resources and focus on technologies at 14nm/12nm and above. “These are things like integrating RF, embedded memory and our work on 2.5D and 3D packaging. All that is looking to continue to extend Moore’s Law but in a different way,” he said.

Analysts agree. “While the leading edge gets most of the headlines, fewer customers can afford the transition to 7nm and finer geometries,” said Samuel Wang, an analyst at Gartner. “14nm and above technologies will continue to be the important demand driver for the foundry business for many years to come. There is significant room for innovation on these nodes to fuel the next wave of technology.”

Still, the 7nm market is growing faster than other technologies. In total, the 7nm foundry market alone is expected to grow from zero in 2017, to $4.98 billion in 2018, according to IBS. In 2019, the 7nm market is expected to reach $9.8 billion, up 96.4% over 2018, according to IBS.

The 16nm/14nm/12nm foundry market is expected to reach $9.7 billion in 2018, down 10.9% over 2017, according to IBS. In 2019, the 16nm/14nm/12nm foundry market is projected to hit $8.9 billion, down 8.3%, according to the firm. GlobalFoundries, Intel, Samsung, TSMC and UMC compete in this market.

What about 3nm and ASICs?
As chipmakers begin to ramp up 10nm/7nm technologies in the market, vendors also are gearing up for the development of a next-generation transistor type at 3nm. At one time, GlobalFoundries announced plans to develop a new transistor technology called a nanosheet FET at 3nm.

GlobalFoundries will not pursue that course, at least for now. “We will put on hold everything beyond 7,” Patton said.

GlobalFoundries, meanwhile, is also making some changes in the ASIC business. In 2014, the company announced plans to acquire IBM’s chip unit. As part of this deal, which was completed in 2015, GlobalFoundries acquired various technologies from IBM, such as process technology, IP and RF. It also acquired IBM’s ASIC business.

Going forward, GlobalFoundries plans to establish its ASIC business as a wholly-owned subsidiary, which is independent from the foundry business. The independent ASIC entity will continue to provide technologies within GlobalFoundries’ fabs at 14nm/12nm and above. But at 7nm, the independent ASIC entity will use technology from competitive foundries.



6 comments

Vikram says:

Looks like GF is also going in fabless semiconductor business model for its ASIC business. They are going in right direction…

Bill Martin says:

Congrats to GF for realistically examining the required technologies, equipment, costs (equipment, throughout, yields, etc) and the TAM and SAM that could generate revenue. Few customers that can afford requiring fewer suppliers. Very wise to sit on sideline to see if EUV ever becomes cost viable with a larger customer base.

Allen Rasafar says:

This was quite a learning experience for most of us working on 7nm. There are many challenges, from DFM to Metrology, tool, to process tuning.
Hope the best for GF, my colleagues and my friends.

Mark J. Hagmann says:

I appreciate your acknowledging that metrology is a significant problem at the 7-nm node. However, the metrologists that I have spoken with claim that the lack of sufficient resolution in carrier profiling became evident at the 40-nm lithography node and problematic at the 20-nm node. It is unreasonable to believe that “sub-nm” resolution claimed for carrier profiling is possible in Scanning Spreading Resistance Microscopy (SSRM) using a diamond probe that has an initial diameter of 15-nm and blunts to 50-nm in the measurements. It is also unreasonable that “sub-nm” resolution would be possible using Scanning Capacitance Microscopy (SCM) when the depletion region is moved back and forth to change a small capacitance across the depletion layer.

Josco says:

Haha… This is what happens when you get an executive team from IBM. All the political chest-thumping announcements of 7nm developments down the drain…

Joel Pat Hanna says:

According to IBS, there are $billions revenue forecast for 7 nm; but because the process cost so much more, it would be more interesting to see a comparison in net profit per million transistors in older nodes, or some such comparison.

Leave a Reply


(Note: This name will be displayed publicly)