How Much Multipatterning?

No matter when other litho options become available, multipatterning is here to stay.

popularity

The latest consensus among litho experts is that extreme ultraviolet (EUV) will appear in the market sometime in coming months in a commercially viable form. The only question is the degree of commercially viability, and what it will actually cost. While some debate lingers about whether EUV will ever get going, the general feeling is that enough progress has been made recently to make it work.

So why doesn’t it feel like a time for celebration? For one thing, some people are afraid that an out-and-out endorsement will just jinx it. For another, its real value to chipmaking is still unknown. And finally, it now appears that no one is betting it will be the only lithography option used in complex designs.

In fact, the view du jour is that different litho options will be used for different chips, depending on the markets and cost resiliency, as well as for different layers within the same chips. The assumption is that even with multipatterning—triple, quadruple and octa—193nm immersion lithography may still be the cheapest option even if it isn’t the fastest.

This marks a rather dramatic shift in the design-through-manufacturing world, and it has been caused largely by EUV missing every deadline since 45nm. With the insertion point now estimated at 7nm, and assuming it actually happens at that node, it would mean the technology has missed five process nodes. In the interim, the semiconductor industry has learned a lot of new tricks and invented a lot of technology that can supplement EUV, including self-aligned double patterning, litho-etch-litho-etch, directed self-assembly, with other alternatives such as multi-beam direct write on the horizon.

It’s a good thing, too. By the time EUV hits the market, double patterning will be necessary even with EUV. But multipatterning already will be well established, meaning chipmakers will have the option of choosing what works for their particular application—a function of market dynamics, including time-to-market windows, and cost resiliency of a particular chip.

This will be key for stacked die, as well, because in stacked die not all of the chips will be made using the same process technology. Understanding patterning options will be critical in analyzing cost and market opportunities, adding a new level of granularity in chips. And all of these approaches will be benchmarked for speed, cost and defectivity.

What’s clear is that no one lithography solution will answer all needs for all chipmakers, and as time goes on and more options become available for different markets, that’s not all bad. This is an industry where history and comfort levels with technology are essential, and 193nm immersion technology with multipatterning is one of the best-known and tested approaches in the history of manufacturing.



2 comments

memister says:

You’ll be surprised, 193 nm immersion with multipatterning is still the fastest. And multi-patterning defeats entire purpose of EUV.

[…] come to expect at 300 mm. Meanwhile, ongoing delays in the rollout of EUV lithography — currently five nodes behind its original roadmap and counting — have forced manufacturers to consider double-, […]

Leave a Reply


(Note: This name will be displayed publicly)