Improving In-System Test With Tessent VersaPoint Test Point Technology

How to reduce EDT pattern counts and improve logic built-in self-test.

popularity

This paper describes a new versatile test point technology called VersaPoint, which has been developed specifically to work with designs implementing mixed EDT/LBIST methodologies to reduce EDT pattern counts and improve Logic BIST (LBIST) test coverage. VersaPoint test points can reduce compressed pattern counts 2X to 4X beyond compression alone and improve LBIST test coverage beyond what is possible with current industry-standard algorithms. These improvements are demonstrated through experimental data from industrial test cases.

To read more, click here.



Leave a Reply


(Note: This name will be displayed publicly)