Mixed Outlook For Semi Biz

Overall market will grow, but there are still pockets of uncertainty involving memory, scaling and tariffs.

popularity

Both the IC and fab equipment industries have been enjoying a boom cycle for some time, but they could be facing speed bumps and possibly turbulence in the second half of this year and into 2019.

In the first half of 2018, the industry was fueled by the momentum carried over from 2017. DRAM prices remained relatively high, which contributed to the revenue growth in the overall IC industry. Meanwhile, fab equipment demand was fueled by NAND, and to a lesser extent, DRAM and foundry.

Heading into the second half of 2018, demand remains healthy for many chips, but here are some issues going forward:

• The NAND market is projected to move from a period of shortages to potential overcapacity in the second half of 2018 or in 2019. Then, DRAM prices are expected to erode over time.
• Foundries are expected to see a slow transition from 16nm/14nm to 10nm/7nm. In addition, foundries see a slowdown at 28nm as customers migrate to 22nm. And 200mm capacity remains tight.
• China and the United States are in the midst of a trade war, which impacts ICs and other sectors.

It’s not all doom-and-gloom. Mobile is showing signs of a slight uptick. And 5G, artificial intelligence/machine learning, automotive and industrial continue to propel demand for chips. Not surprisingly, though, cryptocurrency is slowing.

In total, the IC market is expected to grow a healthy 14.9% in 2018 over 2017, according to IHS. “For 2018, the big driver is once again memory. Memory is anticipated to grow in terms of revenue by 30.8% year-over-year,” said Len Jelinek, an analyst at IHS. “If you take memory out of the equation, the rest of the industry is forecast to grow by 7.8%. This is down slightly from the 10% the rest of the industry grew in 2017.”


Fig. 1: IC Growth Trends Source: IHS Markit

In 2019, the semiconductor market is expected to cool down and grow by 4%, according to IHS. “2019 definitely suffers from a slowing revenue growth in memory. NAND most likely will be in oversupply and DRAM will begin to see pricing erosion,” Jelinek said. “The other key issue is the end markets. Handset demand is slowing due to saturation. And none of the new end-market drivers, such as IoT, 5G and autonomous driving, are ready to jump-start the industry to take the place of handsets and PCs in terms of major growth drivers.”

Meanwhile, 2017 was a record high for front-end fab equipment spending. Fab tool growth has been driven by memory. Sales of new semiconductor manufacturing equipment are projected to increase by 10.8% in 2018 with 7.7% growth expected in 2019, according to SEMI. “We are seeing the momentum continue this year and next year,” said Clark Tseng, director of industry research and statistics for SEMI, in a presentation at the recent Semicon West trade show.

In 2019, the growth will be propelled by China, which is building several new fabs. “We do see a potential slowdown in 2020. But so far, the demand seems solid,” Tseng said. Still others see a slowdown, if not a fab tool downturn, in 2019.

More numbers
In total, the IC market grew by 21.6% in 2017 over 2016, according to the World Semiconductor Trade Statistics (WSTS) group. Then, entering 2018, the IC market was supposed to cool off. Instead, the first quarter was better than expected. DRAM prices were resilient, but NAND prices dropped.

Generally, the fundamentals are sound, causing market watchers to raise their IC forecasts for 2018. In June, for example, the WSTS raised its 2018 chip forecast to 12.4%, up from 9.5% from its original prediction. In 2019, though, the chip market is expected to grow by a mere 4.4%.

Meanwhile, in its new mid-year forecast, SEMI reported that worldwide sales of new semiconductor manufacturing equipment are projected to reach $62.7 billion in 2018, up 10.8% over 2017. In 2019, the market will reach $67.6 billion, up 7.7% over 2018, according to SEMI.

In 2018, South Korea will remain the largest equipment market, according to SEMI. China will jump from third to the second spot in 2018, dislodging Taiwan.


Fig. 2: Semiconductor Equipment Growth. Source: SEMI

Going forward, the industry is looking at several drivers to keep the momentum going, and for good reason. The traditional chip drivers like smartphones are stagnant, while PCs continue to decline.

On top of that, Moore’s Law is slowing. At each node, process costs and complexity are skyrocketing for finFETs, so now the cadence for a fully scaled node has extended from 18 months to 2.5 years or longer. In addition, fewer foundry customers can afford to move to advanced nodes.

Generally, the next big IC growth drivers are 5G, AI/machine learning and automotive. And from a regional perspective, China is the market to keep an eye on. “China is going to be one of the interesting markets to watch. They are building a lot of capacity right now. It’s not just in packaging, but also in memory,” said Thomas Uhrmann, director of business development of EV Group.

As before, China remains the world’s largest market for ICs. In total, the IC market in China is expected to reach $243.5 billion in 2018, up from $170.2 billion in 2017, according to International Business Strategies (IBS).

But also are some wild cards in play. The U.S. has slapped tariffs on a multitude of Chinese-made products, including electronic items, LEDs, PCBs and raw materials. In retaliation, China has hit various U.S.-made products with tariffs.

U.S.-based IC vendors appear to be caught in the crossfire. “Impact on U.S. semiconductors will be significant because of strong emphasis by system companies in China on buying semiconductors from companies other than the U.S.,” said Handel Jones, chief executive of IBS. “Impact on U.S. fab equipment vendors will be minimal because of leadership capabilities of U.S. fab equipment vendors. It’s difficult to buy competitive equipment from other sources.”

Still, the IC market is expected to grow by 12.5% in 2018 and 7.3% in 2019, according to IBS. “(There is a) potential decline in the non-memory semiconductor market in 2020 due to slowing of global GDP growth and overcapacity in segments in the semiconductor market,” Jones added.

The prospects are more upbeat in the application space. “Overall, the equipment industry has been pretty solid the last couple of years,” said Bob Hollands, director of marketing at ASM International. “Chip content is everywhere. Automotive is one of them. That’s increasing in different types of categories for devices.”

There is also demand in both logic and memory. “It’s not just mobile phones, but other devices. That’s creating traffic for server farms on the cloud,” Hollands said.

Others agreed. “Our industry, right now, is in a unique position with all of the memory demand, the Internet of Things, and automotive. That’s all driving our industry at a new level,” said Lena Nicolaides, vice president and general manager at the Wafer Inspection division at KLA-Tencor.

The automotive IC market—the fastest growing chip segment—is projected to reach a record high of $32.3 billion in 2018, up 18.5% from 2017, according to IC Insights. “There is also more chip content in a car right now,” Nicolaides said.

In the future, 5G is another promising market. 5G, the follow-on to the current wireless standard known as 4G, enables faster data rates in phones. Initially, 5G will be deployed in the sub-6GHz frequency ranges in 2019, with millimeter-wave technology in R&D. “5G will be a big driver,” said Gary Patton, CTO at GlobalFoundries. “It’s going to be as disruptive as data was to voice in cell phones.”

Then, the industry is seeing a wave of momentum in another segment—AI/machine learning. “We are at the point where there are a lot of IoT and sophisticated chips being used. That’s one side of it. The other side is the semiconductor market, in general, is buoyed a lot by deep learning and the computing for deep learning,” said Aki Fujimura, chief executive of D2S.

Machine learning makes use of software algorithms, which can learn and make predictions from various data. Machine learning is a subset of AI. “We are in the beginning of the first inning of a major inflection,” said Gary Dickerson, president and chief executive of Applied Materials. “It’s data fueling this AI and big data revolution.

“In AI/big data, you have on-the-edge data generation. Then you are storing that data. You have to store that data in a cost-effective way and then process the data,” Dickerson said in a keynote at the recent Semicon West trade show. “What’s happening today is you have the current accelerator technologies. But there are still gaps to where we need to go in power, performance, area and cost.”

So the industry must innovate, which will drive new designs, processes and equipment. “We are not going to get where we need to go doing what we’ve done in the past. We need a 1,000X improvement in performance per watt. AI needs innovation in the edge and in the cloud, and generating data on the edge,” he added.

Foundry trends
In many cases, though, AI as well as traditional apps will still require chip scaling. In the foundry sector, chipmakers are making the transition from 16nm/14nm to 10nm/7nm. In total, the 7nm market alone is expected to grow from zero in 2017, to $4.98 billion in 2018, according to IBS. In 2019, the 7nm market is expected to reach $9.77 billion, up 96.4% over 2018, according to IBS.

Recently, TSMC began shipping its 7nm finFET process. “We forecast a total of more than 50 customer product tape-outs by end of this year from a wide range of applications, covering mobile, server CPU, network processor, gaming, GPU, FPGA, cryptocurrency, automotive and AI,” said C. C. Wei, chief executive of TSMC, in a conference call.

GlobalFoundries, Intel and Samsung are also ramping up 10nm/7nm. But it will take time before 10nm/7nm reaches critical mass. “It’s going to take a while. It’s so expensive to design at 7nm. We’re seeing customers moving more slowly into these advanced nodes,” GlobalFoundries’ Patton said.

The biggest foundry market is 28nm in terms of revenue. Recently, though, foundries are seeing flat growth for 28nm. In many cases, customers are migrating to 22nm.

TSMC and UMC plan to offer 22nm based on traditional bulk silicon. “For UMC, we are working to broaden our existing nodes and also to expand our 22uLL platform. We have our advanced development teams working on sub 22nm eNVM in parallel with broadening the 28nm and 22nm nodes,” said David Hideo Uriu, product marketing director at UMC.

In contrast, GlobalFoundries is offering a 22nm FD-SOI process. “We are finally seeing FD-SOI take off,” Patton said. Applications for FD-SOI include AI, automotive, IoT, industrial and networking, he said.

Others see a wide range of drivers in the overall foundry market. “For the rest of the year, we do see momentum in certain applications, such as tablet APs, flash controllers and cryptocurrency,” said Steven Liu, senior vice president of marketing at UMC. “Although we cannot provide any guidance into 2019, we do anticipate steady growth in automotive and specialty technologies, such as power management, RF and embedded nonvolatile memory for chip-card applications.”

In addition, demand for analog, MEMS and RF chips continues to cause acute shortages for 200mm fab capacity. “We see 200mm wafer demand remaining strong throughout the rest of the year,” Liu said.

Demand for 200mm equipment has been robust in 2018, and it looks strong for 2019, as well. “Fab space is getting tight as IDMs try and squeeze new tools onto their manufacturing floor,” said Kevin Chasey, senior vice president and deputy general manager at TEL. “We see 2019 as being another strong year for the equipment suppliers and the IDMs.”

At the same time, though, there has been a shortage of 200mm equipment. Today, the industry requires from 2,000 to 3,000 new or refurbished 200mm tools to meet fab demand, according to SurplusGlobal. But there are only 500 available 200mm tools on the market, according to the supplier of secondary equipment.

“End users are struggling due to the lack of equipment and parts,” said Bruce Kim, chief executive of SurplusGlobal. “The situation will continue.”

Memory lane
Memory is a mixed picture. In 2017, NAND was driven by demand for solid-state storage drives in datacenters.

In DRAM, meanwhile, vendors have built relatively little capacity over the years. Yet, demand remains strong, which has led to higher ASPs and enormous profits in 2017.

DRAM is in a similar situation in 2018. “Bit-growth isn’t that great. It’s 21% this year,” said Bob Johnson, an analyst with Gartner. “What’s happening to cause revenue growth is pricing.”

DRAM pricing is expected to erode over time. “We are still projecting DRAM pricing will come back on somewhat approaching a normal curve. But even with a projected decline, we’re still above the traditional Moore’s Law curve by a factor of 10,” Johnson said.

Citing the price situation, DRAM revenue is expected to hit a staggering $99.3 billion in 2018, up from $72.1 billion in 2017, according to Gartner. In 2019, DRAM will reach $110.2 billion, according to the firm.


Fig. 3: 2Q DRAM Revenue Forecast Source: Gartner

NAND is going in a different direction. Suppliers of NAND are converting their capacity from traditional planar NAND to 3D NAND. In fact, vendors are migrating from 48- to 96-layer devices, which is flooding the market with higher density parts.

OEMs are buying these devices, but there isn’t enough demand to assume these extra bits. So the NAND market is moving from a period of shortages to equilibrium.

In addition, China is building a number of new 3D NAND fabs, but domestic chipmakers aren’t expected to be a factor for some time as they struggle with yields.

Regardless, ASPs are expected to fall by 24% in 2018 and 23% in 2019, according to Gartner. In total, NAND revenue is expected to reach $58.7 billion in 2018, up from $53.7 billion in 2017, according to Gartner. In 2019, NAND will reach $64.5 billion, according to the firm.


Fig. 4: Q2 NAND Revenue Forecast Source: Gartner

Many analysts see a slowdown in memory in 2019 or 2020, but one analyst has a different viewpoint. “NAND spot prices have already fallen about 50% this year, so NAND oversupply should begin relatively soon,” said Jim Handy, an analyst with Objective Analysis.

“For the past two years, our outlook has been for a NAND downturn beginning in the second half of 2018. We’re sticking with that. There is already too much NAND capacity today. But 3D NAND technology isn’t being as efficiently used as it was expected to be, and that is going to change. When it does, there will be an oversupply,” Handy said. “The NAND downturn will cause planar fabs to be converted to other uses. Since DRAM is in a big shortage, these fabs are most likely to start producing DRAM. That can be done very rapidly. We expect for this to cause a DRAM oversupply a quarter behind the NAND oversupply.”

More packages
Packaging, meanwhile, is mixed bag. In total, the outsourced semiconductor assembly and test (OSAT) industry was projected to grow by 5% to 7% at the start of 2018, compared to 10% to 12% growth in 2017, according to CLSA.

CLSA has lowered its forecast in 2018. “For OSAT sales, we estimate the growth would be lower from 5% to 7% to 4% to 5%, due to a weaker smartphone market and a slowdown of cryptocurrency mining in 2H18,” said Sebastian Hou, an analyst with CLSA.

In total, the top 10 OSATs are expected to increase their capital spending to $4.4 billion in 2018, up 5% over 2017, according to the firm.

Capacity utilization rates are trending up. For example, Advanced Semiconductor Engineering’s utilization rates for wirebonding were in the 80% range last quarter, up from the mid-70% range in the first quarter.

Today, some 75% to 80% of all IC packages utilize wire bonding, according to TechSearch International. Wire bonding is used for low-cost legacy packages, mid-range packages and memory die stacking.

Generally, when chipmakers and OSATs see their capacity utilization rates reach the 80% range, they begin to buy more equipment. And suppliers of wirebonders are seeing some positive signs. “We still have capacity buys,” said Fusen Chen, president and chief executive at Kulicke & Soffa.

From Chen’s vantage point, there is good demand in automotive, IoT and LEDs. But there are also some mixed signals. “The memory business has been suffering from shortages. That impacts a lot of companies. The mobile market is suffering. There is some concern about a trade war,” Chen said in a brief interview at Semicon West. “These are short-term phenomenon. Longer term, China will continue to make investments. I’m quite bullish.”

In packaging, the big growth revolves around advanced packaging. For example, fan-out is gaining steam. “High-density fan-out solutions are gaining traction for mobile and certain high-performance computing segments,” said Choon Lee, vice president of advanced packaging at Lam Research.

Fan-out is also moving into other markets. “Regarding automotive as a whole, we can safely say it’s an active area for fan-out,” said Rama Puligadda, executive director of advanced technologies at Brewer Science. “It’s mostly in radar, but there are other markets, as well.”

There are other growing markets, as well. “We are now beginning to see increased participation of the DRAM memory segment with various advanced packaging platforms. Leading-edge DRAM providers are beginning to transition from wire-bond to copper pillar solutions. We are also witnessing an increased adoption of TSV for high bandwidth memory solutions,” said Manish Ranjan, managing director of Lam Research’s Advanced Packaging Customer Operations.

Related Stories
Foundry Challenges in 2018
Will Fab Tool Boom Cycle Last?
Packaging Challenges For 2018



Leave a Reply


(Note: This name will be displayed publicly)