Multi-Beam Market Heats Up

Intel to enter equipment market against NuFlare with acquisition at leading edge of mask writing; photomask challenges grow.

popularity

The multi-beam e-beam mask writer business is heating up, as Intel and NuFlare have separately entered the emerging market.

In one surprising move, Intel is in the process of acquiring IMS Nanofabrication, a multi-beam e-beam equipment vendor. And separately, e-beam giant NuFlare recently disclosed its new multi-beam mask writer technology.

As a result of the moves, the Intel/IMS duo and NuFlare will now race each other to bring multi-beam mask writers into the market. Still in the R&D stage, these newfangled tools promise to speed up the write times for next-generation photomasks, although there are still challenges to bring this technology into production.

Intel, for one, sees a need for this technology. “Intel is completing its acquisition of IMS Nanofabrication,” according to officials from Intel. “This transaction will allow IMS Nanofabrication to focus on and accelerate the development of [multi-beam mask writing], which is a critical technology for securing the extension of Moore’s Law well into the future.”

To be sure, though, Intel’s move to acquire IMS took the industry by surprise. For one thing, Intel has invested in equipment suppliers in the past, but the company hasn’t bought a tool vendor outright in recent memory.

With IMS, Intel has taken a step into the equipment business. But it’s unlikely that the chip giant will make other acquisitions in the sector in the near term, according to analysts. The IMS deal is perhaps a one-time strategic move in a critical area, analysts said.

In any case, the move also represents Intel’s latest investment related to extreme ultraviolet (EUV) lithography. Indeed, Intel and others are placing huge bets on EUV. And to ensure the EUV infrastructure is ready, Intel and others have invested in various companies, such as ASML as well as Inpria, an EUV resist developer.

IMS’ technology is also expected to play a role for both EUV and optical masks. For years, Intel and other leading-edge chipmakers have produced photomasks within their own, internal mask shops.

Mask makers use traditional single-beam e-beam tools to pattern a photomask. But recently, e-beams have been struggling to keep up with complex masks.

In response, Intel and Photronics invested in IMS in 2011. In addition, Intel, DNP, Photronics and TSMC are collaborating on an effort to accelerate IMS’ multi-beam mask writer tools in the market. Still in the R&D stage, IMS’ technology makes use of multiple beams, which in theory will accelerate the write times in mask production.

Reports surfaced, however, that IMS recently fell behind schedule with its tool program amid technical issues, according to multiple sources. So to help IMS get back on track, Intel moved to secure the technology by acquiring IMS, sources said.

Meanwhile, under the terms of the deal, IMS will operate as a subsidiary of Intel. IMS will continue its “collaborative efforts and supporting their other industry customers,” according to Intel, which declined to comment further on the deal. IMS also declined to comment.

As part of Intel, though, IMS may encounter some difficulties in terms of selling its tools to Intel’s competitors that have mask shops, such as GlobalFoundries, Samsung, SK Hynix, SMIC, Toshiba and TSMC. That could open the door for NuFlare and its multi-beam tool. On the other hand, photomask makers welcome the idea of having two strong multi-beam vendors in the market.

All told, mask makers have high hopes for multi-beam mask writers. “Because everybody thinks multi-beam mask writing will happen, people are investing more as a result of the technology,” said , chief executive of D2S, in a recent interview. “The OPC community can do more creative things without the constraint of the mask being able to write in a reasonable time.”

The first multi-beam mask writers could move into early production by late 2016. These tools are expected to move into high-volume production by 2018, according to a survey from the eBeam Initiative.

Still, the questions are clear. Will multi-beam mask writer technology work as advertised? And what does it bring to the party?

Why multi-beam?

E-beams are used in the production of photomasks. Basically, a photomask consists of a chrome layer on a glass substrate.

In the flow, the photomask is patterned based on the specs of a given IC design. The mask, in turn, becomes a master template for that design. After a mask is patterned, it is shipped to the fab. The mask is placed in a lithography tool. The tool projects light through the mask, which, in turn, patterns the images on a wafer.

There are two types of systems that pattern the features on a mask—e-beams and laser-based pattern generators. E-beams are used to pattern critical layers, while laser-based tools are geared for non-critical layers.

Single-beam e-beam tools are based on variable shape beam (VSB) technology. In VSB, two shaped apertures are used to form a triangular or rectangular beam.

Not long ago, the e-beam could pattern a mask with ease. But recently, it’s become a different story. “Mask making is becoming increasingly difficult,” Fujimura said. “The big point is that complexity is growing for mask makers.”

As before, the lithography type determines the mask specs. Today, chipmakers are extending 193nm wavelength lithography to 16nm/14nm and beyond.

To deal with the diffraction issues, mask makers must use various reticle enhancement techniques (RETs). One RET, called optical proximity correction (OPC), is used to modify the mask patterns to improve the printability on the wafer. OPC makes use of tiny assist features on the mask. And the features are getting smaller and more complex at each node.

Mask makers are also moving towards inverse lithography technology (ILT). ILT boosts the pattern fidelity on the mask. But it also involves the creation of more complex curvilinear features on the mask.

As the mask becomes more complex, photomask makers are seeing an increase in write times. Writes times—the key metric in mask production—determine how fast an e-beam can write a mask layer. Write times are dependent on the number of e-beam shots required to pattern a mask layout. If a mask is complex, it requires more shots.

From 2001 to 2005, e-beam write times were 8 hours per mask set. In 2015, the average mask write times were 9.6 hours, according to the eBeam Initiative survey. The write times for more complex masks range from 18 to 72 hours today, according to the survey.

Since 2011, the write times have increased by 25%, due to mask complexity. This, in turn, impacts mask turnaround times and cost.

Mask makers have found ways to solve these issues. For example, chipmakers moved to multiple patterning starting at 20nm. In multi-patterning, the mask is split into two or more mask layers.

To solve the problem, some mask shops simply buy more e-beams. In some cases, they are simultaneously utilizing two e-beams on the same mask. The e-beams write different layers in parallel to reduce the cycle times and cost.

There are other ways to reduce write times. Over the years, e-beam vendors have made their systems faster by increasing the current densities in a tool. NuFlare, for example, has significantly boosted the current densities in its single-beam tool over the last decade, from 70A/cm2 in 2006 to 1,200A/cm2 today.

“It’s amazing what the e-beam can do today,” said Franklin Kalk, executive vice president of technology at Toppan Photomasks. “Shaped beam tools are accurate. They have placement accuracies in the low single digits now. The CD accuracies are almost immeasurable.”

But in some respects, today’s single-beam e-beams have hit a physical limit. “The end of current density scaling for shaped beam is about 1200A/cm2,” Kalk said. “Beyond that, you have to go to multi-beam.”

It’s possible to develop a tool beyond 1200A/cm2. But as the current densities increase beyond a certain figure, the shot size becomes too small. “At some point, that doesn’t really help you anymore,” Kalk said.

In fact, NuFlare’s latest tool, called the EBM-9500, has a current density of 1,200A/cm2. This tool, according to NuFlare, represents the company’s last single-beam system.

All told, single-beam e-beam is expected to run out of steam at 7nm or 5nm, according to analysts. Then, mask makers will require multi-beam mask writers, especially for EUV photomasks.

For example, with today’s single-beam tools, EUV mask write times could range from 50 to 100 hours just for one leading-edge mask, a figure that is unacceptable in the industry, analysts said. In contrast, multi-beam mask writers promise to keep the write times down to a few hours or a half-day for all mask types.

Lab to the mask shop?

Bringing multi-beam mask writers from the lab to the mask shop is challenging. Indeed, after nearly a decade in R&D, these systems are still not in production amid a number of technical challenges.

Today, two entities, Intel/IMS and NuFlare, are separately developing multi-beam mask writers. For some time, IMS has been developing a system with 262,144 programmable beams. The 50-keV tool has demonstrated a half-pitch resolution of 30nm.

In 2013, IMS joined forces with JEOL to co-develop tools. IMS provides its multi-beam technology, while JEOL is the systems integrator. The goal is to ship a high-volume mask writer in 2016.

Meanwhile, NuFlare recently disclosed the details of its multi-beam tool. The 50-KeV system, dubbed the MBM-1000, consists of roughly 250,000 beams, according to Hiroshi Matsumoto of NuFlare. The tool, which is geared for 5nm, will ship in late 2017.

Intel/IMS and NuFlare both promise half-day write times, but they are taking different approaches. NuFlare’s tool performs the blanking functions at low voltage and then accelerates the current. In contrast, the Intel/IMS tool accelerates the current and then does the blanking.

Both vendors face similar challenges. “The nice thing about a multi-beam tool is that it is a deterministic machine,” Toppan’s Kalk said. “As long as you get the data down the datapath, it will write a mask in a time that’s determined by the overall area of the pattern you are writing.”

The big challenge is to move that data down the datapath. “It is not trivial to put down a quarter million beams with perfect fidelity and timing at high speeds. You are also talking about writing a mask in say 12, 14 or 15 hours,” he said. “That’s a lot of data to put down in the pipeline. The datapath is going to be critical. If we can’t get the data to the beam lines, it will slow (the system) down.”

There are other challenges. If or when the industry inserts EUV for production, mask makers must contend with the complexities of EUV masks. For EUV, the sub‐resolution assist feature (SRAF) sizes on the mask range from 32nm to 40nm, compared to 60nm for optical. The SRAF 1x design sizes range from 8nm to 10nm for EUV, compared to 15nm for optical, according to Mentor Graphics.

“Minimum feature size on the mask will decrease since k1 decreases, affecting both primary features and assist features,” said Peter Buck, manager of MDP and platform solutions at Mentor Graphics. “Mask layout specific compensation for EUV-specific optical effects, such as shadowing, flare and black border, may require full-mask layout OPC and will require full-mask layout MPC and fracture.”

Needless to say, EUV masks are complex. On the other hand, EUV masks will require fewer masks per set. So, in theory, a multi-beam mask writer could keep EUV mask write times in check.

But mask makers may want to keep their single-beam tools around for EUV, especially if the multi-beam tools are late or fall short of their promises. “While multi-beam writers are targeted to be faster than VSB writers for advanced layers, the complexity of massively-parallel e-beam writers makes these tools challenging to produce,” Buck said. “Innovation for VSB writers continues. It seems likely that both technologies will have significant roles to play in both advanced DUV and EUV mask lithography.”

Multi-beam mask writers are just one part of what’s required to make EUV happen in the mask shop. In the EUV mask infrastructure, the industry has made progress in some but not all areas.

EUV mask blanks are a bright spot. Last year, there were an average of 10 defects on an EUV mask blank, said Seong-Sue Kim, a technical staff member within the Semiconductor R&D Center at Samsung.

The industry hopes to bring that figure down to five defects, which can be achieved within the next year, Kim said. “There has been continued progress in blank defectivity,” he said.

Still, there are some major gaps. “Mask defects are still a key issue,” said Rich Wise, technical managing director at Lam Research. “You need actinic inspection.”

The industry is begging for actinic-based pattern mask inspection for EUV, but no such tool exists. So for now, the industry must use today’s optical and e-beam inspection to inspect EUV masks. “The best idea is to extend the existing platforms,” said Yalin Xiong, general manager of the Reticle Products Division at KLA-Tencor. “For the short term, this solution is good enough.”

Nevertheless, there are other technologies that must come together before EUV moves into production. EUV is targeted at 7nm or 5nm. “The source power is making progress, but there is still work to do,” Lam’s Wise said.

Yet most say it’s a matter of if and not when EUV will move into production. “EUV is making huge progress,” said David Fried, chief technology officer at Coventor, a supplier of predictive modeling tools. “For example, you have the pellicle, resist defectivity and other issues. Those things were dark clouds a few years ago. Now, the problems are clearly defined and there are competing solutions. People are working on them and those will be solved.”

Related Stories
Survey: Mask Complexity To Increase
Gaps Remain For EUV Masks
Mask Supply Chain Preps For 10nm
7nm Lithography Choices



3 comments

dglover says:

Could someone comment/explain whether or not IMS’ tools are more important for traditional immersion masks or for EUV masks? Prior articles indicated that the CEO of IMS was more focused on traditional immersion masks, but this article seems to imply that Intel purchased IMS more because it is trying to hit 7nm insertion for EUV and views this technology as critical to making that timeline.

Mark LaPedus says:

Hi Mr. Glover. You are correct. IMS has talked more about using its multi-beam mask writer tools for traditional optical masks. In the past, though, IMS has also mentioned that its tools will be capable of handling EUV masks. So here’s the answer: multi-beam is important for both optical and EUV masks. However, it’s unclear what Intel will do with IMS’ tools. But here’s what one expert told me: “With multi-patterning (using optical masks), the write time per mask layout is not going to explode beyond 20 hours per mask using the latest single-beam writer tools. EUV will change the game, as mask layouts will become far more complex. The mask industry believes this can be solved using next-generation, multi-beam mask writers.” Would anyone else like to comment?

memister says:

So this means multi-beam gates EUV?

Leave a Reply


(Note: This name will be displayed publicly)