Next-Gen Mask Writer Race Begins

New tools will be required at 7/5nm, and at this point it’s a two-company competition.

popularity

Competition is heating up in the mask writer equipment business as two vendors—Intel/IMS and NuFlare—vie for position in the new and emerging multi-beam tool segment.

Last year, Intel surprised the industry by acquiring IMS Nanofabrication, a multi-beam e-beam mask writer equipment vendor. Also last year, IMS, now part of Intel, began shipping the world’s first multi-beam mask writer for use in the patterning the tiny features in advanced photomasks. And recently, rival NuFlare shipped its initial multi-beam mask writer.

Multi-beam is one of the two types of e-beam mask writer systems in the market. The other and most common type is single-beam e-beam, based on variable shape beam (VSB) technology. For years, mask makers have used traditional single-beam VSB tools from NuFlare. But the VSB systems are taking longer to pattern the more complex masks, which translate into time and money for mask customers.

So for years the industry has been developing a new class of multi-beam mask writer systems. Those systems utilize thousands of tiny beamlets as a means to speed up the patterning process, commonly called write times, for complex masks. Multi-beam tools can be used for three mask types—extreme ultraviolet (EUV), nano-imprint and optical.

For EUV masks, photomask makers want multi-beam mask writers. “It’s faster,” said Jeff Farnsworth, director of mask technology for Intel Mask Operation, the captive photomask unit of Intel. “VSB can do it. It’s a long write time.”

The VSB tools from NuFlare aren’t going away anytime soon. In fact, VSB will remain the workhorse tools for traditional optical masks at 10nm and above. And generally, VSB tools also can do the job for leading-edge EUV and optical masks.

But mask makers now will have the following mask writer options for leading-edge masks—NuFlare’s VSB and multi-beam tools, and Intel/IMS’ multi-beam systems. Most will use both VSB and multi-beam systems in the mask shop, but not from both multi-beam vendors. So the competition is expected to be fierce. The total market for mask writer shipments are expected to be roughly 10 to 12 tools in 2017, meaning vendors will fight for every order, according to analysts.

But there are several questions that need to be answered along the way. First, what is the best solution between VSB and multi-beam? Second, do the new multi-beam systems provide any benefits? And third, will multi-beam displace VSB over time?

Mask trends
The photomask is a critical part of the IC supply chain. A chipmaker designs an IC, which is then translated into a file format. A photomask is developed based on that format.

The photomask is a master template for an IC design. After a mask is developed, it is shipped to the fab. The mask is placed in a lithography tool. The tool projects light through the mask, which in turn patterns the images on a wafer.

In mask making, the process starts with the production of a substrate or mask blank. In optical, the mask blank consists of an opaque layer of chrome on a glass substrate.

Once the blank is made by a mask blank manufacturer, the blank is shipped to the photomask maker. Then, in the mask shop, the mask blank is patterned using an e-beam mask writer, thereby creating a photomask or template.

The patterned mask is then inspected for defects. Defects can be fixed using a mask repair system. Then, a pellicle is mounted on the mask. Pellicles are thin membranes that prevent particles from landing on the mask.

Mask writing is a key step in this process. Mask makers use two types of tools—e-beams and laser-based pattern generators. Laser-based systems pattern the larger features and non-critical layers, while e-beam tools are used to pattern the smallest features and the most critical layers on the mask. VSB-based single-beam e-beam systems are the most common type, while the multi-beam tools are just emerging.

In VSB, two shaped apertures are used to form a triangular or rectangular beam. In operation, the electrons from the VSB tool are fired in shots, which pattern the mask in rectangular-like shapes. “In today’s e-beam technology, you shoot one shot at a time,” explained , chief executive of D2S, in a recent interview. “So how many shots there are is predominately the determining factor of how long it takes to write a mask. And how long it takes to write a mask is the principal determination of both the cost of the mask and also the yield of the mask.”

Using the VSB tool, mask making was a relatively straightforward process until chipmakers began to push optical lithography beyond the wavelength of light. Today, chipmakers use 193nm wavelength lithography to pattern the tiny features on a wafer. In reality, though, 193nm immersion lithography hits the limit at 80nm pitch (40nm half-pitch).

At that point, diffraction effects come into play. In some cases, as the light passes from the mask to the wafer, the light waves spread out and are accompanied by interference. Therefore, a pattern could become blurred or lost.

To deal with the diffraction issues, mask makers use various resolution enhancement technologies (RETs) on the photomask. One RET, called optical proximity correction (OPC), makes use of tiny sub-resolution assist features (SRAFs), or decoration-like shapes, on the mask. OPC modifies the mask patterns to improve the printability on the wafer.

Despite the shift towards OPC, the single-beam VSB tool was able to keep up with the requirements for the photomask industry in terms of write times. Writes times—the key metric in mask production—determine how fast an e-beam can write a mask layer.

To keep up with mask complexity, NuFlare increased the current densities in its VSB tool over the last decade, from 70A/cm² in 2006 to 1,200A/cm² today. “We were increasing the current density to keep the same writing time, even though the patterning size is shrinking,” said Hirokazu Yamada, director of the Mask Lithography Division at NuFlare, in a recent interview.

But the technology is changing on several fronts. First, the current density of the VSB tool hit its physical limit at 1,200A/cm². So in simple terms, the only way to advance the mask writer is by moving toward a multi-beam architecture. Then, mask complexity began to escalate starting at 22nm/20nm. The OPC-based features began to pile up and overlap on the mask, making it difficult to print some of the features on the wafer.

Fortunately, the industry found a solution with multiple patterning. With this approach, the OPC-based features are split into two or more masks, which provide more room to manipulate the objects. At advanced nodes, though, the OPC features are becoming smaller and more complex.

Then, on top of that, mask makers introduced more advanced RETs, such as curvilinear assist features. One version of curvilinear assist features is called inverse lithography technology (ILT). ILT makes use of curvilinear shapes on the mask that improve the latitude of a process and the depth of focus for a lithography system.


Fig. 1: Extremely Complex Mask Patterns for sub-10nm Mask Nodes. Source: IMS

A VSB tool, meanwhile, can pattern complex masks using advanced OPC and curvilinear features. As stated above, the shot shapes for VSB are still rectangular. But to create curvilinear patterns, the VSB tool shoots electrons in a pattern that resembles a “stair stepping” flow.

Generally, though, a stair stepping pattern requires more and smaller shots, which could cause mask write times to increase. In fact, due to mask complexity, the write times have increased by 25% since 2011, according to analysts.

“The complexity of the shapes on the mask is increasing,” D2S’ Fujimura said. “So therefore, mask making is harder in terms of the amount of stuff you have to do in the same area and in the same amount of time.”

Using a VSB tool, the average write time for a mask ranges from 2.5 to 13 hours today, according to a recent survey from the eBeam Initiative. The mean write time is 6.8 hours, according to the survey.

The longest write times for more complex masks range from 14 to 60 hours, according to the group. Generally, photomask makers frown upon a mask design with write times that exceed 24 hours, except for certain cases. Excessive write times translate into high mask costs, longer turnaround times and yield issues.

The solution to the problem? Multi-beam mask writers.

What is multi-beam?
In contrast to VSB write times, which are dependent on shot count and the complexity of the mask, multi-beam write times are independent of shot count. In fact, multi-beam write times are constant and take about 10 hours for any type of mask.

“The throughput (for multi-beam) is much faster than VSB tools even on a simple design. It’s 10 hours, compared to maybe 30 hours for a VSB tool,” said Elmar Platzgummer, chief executive of IMS. “Maybe it’s an hour or two longer in some cases. So it’s 10 to 12 hours.”

Multi-beam mask writers are not ideal for less complex masks with shorter write times. “Below 10 hours, it doesn’t make sense,” Platzgummer said. “The complexity is too high versus the real benefits.”

Multi-beam, however, makes sense for EUV masks. “For that, there is a consensus that multi-beam is practically a must,” he said. “EUV isn’t the only application. In optical, the ILT-type of patterns are also facilitated by multi-beam. To extend optical further, multi-beam is essential. Complex computational masks also need multi-beam. Otherwise, the write times will go through the roof.”

Still, the decision to go with VSB or multi-beam depends on several factors. According to one metric, the crossover point where multi-beam makes more sense over VSB is around the 300-gigashot range, according to NuFlare. “It also depends on the customer,” NuFlare’s Yamada said. “The VSB architecture excels in writing layers with relatively fewer shot counts, whether it be from layer pattern density, multi-patterning techniques or by elegant circuit design. The multi-beam architecture is best for masks with very heavy shot counts like ILT and curvilinear designs or where a single wafer layer is expressed with a single EUV mask.”

Mask makers will extend VSB as far as possible before moving to a new architecture. Even with multi-beam, they will continue to use VSB for the foreseeable future. Over time, they will co-exist in the mask shop.

But at least now there are two viable vendors in the multi-beam space, Intel/IMS and NuFlare. In many respects, the multi-beam tools from both vendors have nearly the same features. Both tools incorporate an architecture with 262,144 programmable beams. Each beam is 10nm.

There is one difference, however. IMS’ tool generates a 5-KeV beam energy from the source. Then the energy is amplified to 50-KeV. In contrast, NuFlare’s tool starts out with a 50-KeV beam energy.


Fig. 2: NuFlare’s VSB and multi-beam technology. Source: NuFlare


Fig. 3: IMS Nanofabrication’s multi-beam tool. Source: IMS

The apps: EUV masks
Multi-beam is key for the deployment of EUV lithography. Today, GlobalFoundries, Intel, Samsung and TSMC hope to insert EUV lithography at 7nm and/or 5nm.

There are several challenges to bring EUV into production, such as the power source and resists. “We also have line-width roughness and line-edge roughness,” said Ben Rathsack, senior member of the technical staff at TEL. “And we have shot noise.”

Still, the industry needs EUV. “The industry has so much momentum behind it,” said David Hemker, senior vice president and technical fellow at Lam Research, at a recent event. “(EUV is required) to keep the effect of Moore’s Law going. We have to overcome these problems by being creative.”

It will also require some innovation on the EUV mask side of the equation. An EUV mask blank consists of 40 to 50 alternating layers of silicon and molybdenum on a substrate.

“The EUV mask is more complicated than the 193i mask,” said Ryan Pearman, chief scientist at D2S, during a recent presentation. “When we do our e-beam scattering, you will find that the electrons will scatter off roughly each interface. Because there are more interfaces, you will get much broader and complicated scattering than you do with 193nm.”

Simply put, EUV mask writing is challenging. VSB tools can do the job, but there are some tradeoffs. As before, the tool patterns the mask one shot a time. But in VSB, the resist or dose profiles are simple.

In comparison, multi-beam is faster, but the dose profiles are more complicated. “In the VSB era, where we are typically only assigning one or two doses, we are now assigning many, many different dose values (with multi-beam),” Pearman said.

In a curvilinear feature, for example, a multi-beam tool utilizes beamlets to print the pattern in a bit map. “What that really means is that I would print individual pixels,” Pearman said. “Then, I would cover them with a dose of maybe one in the middle, a dose of maybe a half around the edges, depending on the overlap. And then, I might have a dose of zero, where there is no overlap at all.”

So in multi-beam, the dose profiles and beam shapes must be taken into account. “In order to do mask modeling in the multi-beam era, you have to separate shape and dose effects,” he said.

So how will this all play out for EUV masks? Initially, EUV lithography is targeted for patterning contacts and vias. For contacts/vias, the feature size is expected to be 70nm on an EUV mask at 7nm and 55nm at 5nm, according to GlobalFoundries. In comparison, the feature size is 250nm for an optical mask at 7nm.

SRAFs are not required for 7nm EUV masks, which could make the mask writing process less complex. But SRAFs with feature sizes at 30nm are required for 5nm EUV masks, according to GlobalFoundries.

“From a resolution standpoint, we’re looking at about a 40nm minimum feature size at 7nm, moving to 24nm (at 5nm),” said Tom Faure, a member of the technical staff at GlobalFoundries. “All of these requirements are pointing towards the need at 5nm for the multi-beam mask writer. It’s definitely a benefit at 7nm, but not an absolute necessity is our view on this.”

What about optical?

EUV isn’t ready for the initial stages at 7nm, however. So chipmakers will first use 193nm immersion lithography and multiple patterning at 7nm. The hope is to insert EUV later at 7nm.

At 7nm, optical masks will utilize aggressive OPC, curvilinear shapes and ILT. For these applications, VSB can do the job, but mask makers are taking a hard look at multi-beam. “Multi-beam e-beam writing may have some advantages for optical mask writing,” said Banqiu Wu, principal member of the technical staff and chief technology officer of the Mask and TSV Etch Division at Applied Materials.

Multi-beam is ideal for optical masks using ILT-like patterns. “Curvilinear lines or straight diagonal lines of any angle can be written with smaller minimum widths in practical write times with multi-beam mask writers with the same resist,” D2S’ Fujimura said.


Fig. 4: Demo of curvilinear test pattern. Source: IMS


Fig. 5: Demo of any angle test pattern. Source: IMS

So what’s the best solution? All told, both VSB and multi-beam will have their places in mask making. It largely depends on the mask design and technology type. “The need for multi-beam mask writing is often tied to EUV production. Curvilinear inverse lithography technology, which enables better process margins on the wafer for small shapes, is also enabled by multi-beam. However, the general thinking is that VSB is sufficient for 193i masks,” Fujimura said.

To be sure, though, multi-beam is a key enabler. “While today’s masks are written in reasonable times without multi-beam writers, having the multi-beam mask writers opens up a whole new set of possibilities for patterns that can be written on the mask,” he said.

Related Stories
Challenges Mount For Photomasks
Optical proximity correction, EUV pellicles, inverse lithography and actinic inspection make it hard to achieve a return on investment at advanced nodes.
Why EUV Is So Difficult
One of the most complex technologies ever developed is getting closer to rollout. Here’s why it took so long, and why it still isn’t a sure thing.
More EUV Mask Gaps
Pellicles and inspection remain problematic.
Mask Maker Worries Grow—Part 1
Gap widens between economic returns and the amount of R&D required to fully utilize next-generation lithography.
Mask Maker Worries Grow—Part 2
Problems continue to grow at each new process node, and so do the costs.



5 comments

memister says:

Such small sizes on EUV mask are ridiculously impractical. Just thinking about inspection and then repair.

memister says:

Why don’t they apply the multibeams to the wafer directly instead of the mask?

Mark LaPedus says:

Hi. Here’s what IMS told me about that in 2014: ”We have ideas how to migrate our existing architecture to a direct-write architecture. It’s more or less staying with the exact same concept, where you treat hundreds of thousands of beams as one beam. So from the optics side, it’s quite similar. The complexity comes from the density and number of beams and how they are switched. But that’s not enough. You need several axes or columns that operate in parallel. And eventually, you will need several systems or clusters in an array to get to what the industry wants, which could be 200 wafers an hour. Even 100 wafers an hour is very challenging. If it’s possible to reduce the throughputs a little bit, it would make it much easier.” http://semiengineering.com/executive-insight-elmar-platzgummer/ Direct-write also suffers from Tennant’s Law https://semiengineering.com/executive-briefing-direct-litho/

Mark LaPedus says:

Then, IMS told me: First, it’s important to get the multi-beam mask writer tool up and running. It’s actually a challenge for us to handle the growth and to deliver this technology reliably to the customers. At the present time, a distraction would be the wrong thing to do. http://semiengineering.com/deploying-multi-beam-mask-writers/

multibeam says:

Even thought its a year’s old article I will try a question: What is the size of the mask resulting in the write-times mentioned in the article?

Leave a Reply


(Note: This name will be displayed publicly)