2017: Manufacturing And Markets

Part 1: The future of Moore’s Law, new architectures and packaging, and a spike in automotive, artificial intelligence and virtual/augmented reality.

popularity

While the industry is busy chatting about the end of Moore’s Law and a maturing of the semiconductor industry, the top minds of many companies are having none of it. A slowdown in one area is just an opportunity, in another and that is reflected in the predictions for this year.

As in previous years, Semiconductor Engineering will look back on these predictions at the end of the year to see who was right and who got it wrong. You can find the results of last year’s predictions here and here.

In part one, predictions that relate to manufacturing, design and end markets are covered. The second part of the series will cover tools and methodologies.

Semiconductor manufacturing
Setting the stage, , CEO of D2S says that ” will continue for many years to come. If we think about a distribution curve of probability of outcome, then I admit there is a possibility that Moore’s Law as we have known it is going to be ending in the next 10 years. I admit there is that possibility. The more likely scenario is that even 10 years from now it will continue to happen, although in a much more limited part of the semiconductor space. It used to be that every startup chip company would go after the leading-edge node, but that is not true anymore. You are much more likely to target a non-leading edge node because it is more economically viable.”

There are plenty of things happening in the area of manufacturing and some exciting new technologies ready to become mainstream. “On the mask side, transition from the variable-shaped beam (VSB) technology, which is the way masks have been written for the past 20-plus years, to newer technology that is multi-beam technology,” says Fujimura. “I don’t think there will be production masks out, possibly one or two, but the ecosystem will be getting ready for it. The impact of that is that people will be looking for the mask to have smaller features, more accurately drawn and also have curvilinear features, not just Manhattan features.”

The slowdown is causing the industry to look for other solutions. “Packaging, as well as package/chip simulation, is becoming a more important issue,” says Wally Rhines, CEO of Mentor Graphics. “During 2016, we saw the introduction of various multi-chip packaging approaches that are becoming more cost effective. InFO was one of those, although there are others. Ultimately, the next generation of complexity will require simulation, verification and analysis of multi-chip packaging configurations.”

EDA companies have been developed new capabilities in this area. “Over the last few years, EDA companies have developed integrated solutions for packaging that allow designers of chips to intelligently analyze the packaging and pin-out configurations that will be most effective for cost and performance,” adds Rhines. “Now the design environment requires a steady flow of data between the packaging engineer and the chip designer. InFO accelerated that cooperation.”

One way to decrease costs is to help improve yield and this is another area that Rhines is focused on. “Published industry data indicates that the top 10 semiconductor companies increased their analysis of component failures to more than 2 million units per day. What’s changing? For many years, data from component failures at final test, or at die sort probe analysis, were analyzed in the failure analysis lab, or not at all. This has changed. Failure analysis labs have only limited capability to isolate and analyze individual component failures because the physical dimensions have become so small. Instead, failure data is now compared to physical layout data.”

This has resulted in major increases in yield percentages during the ramp-up of new processes and new designs, as well as during the ongoing monitoring of mature manufacturing processes. “Today, all of the failure data at leading semiconductor companies is analyzed,” continues Rhines. “EDA tools are available to generate statistical data to determine whether a failure is caused by a systematic design layout problem or process effect. This ‘deep data analysis’ is yielding millions of dollars of savings, as well as improved margins of performance, of components. Helping with this is the willingness of silicon foundries to share failure data with their customers. In 2017, there will be nearly 100% analysis of device failures using design-for-test software.”

The change in manufacturing focus is causing other changes throughout the ecosystem. “Rather than obsessing on further process geometry shrinks, the industry will focus instead on new system architectures and better use of available silicon thru new concepts in circuit, chip, and packaging design,” says Robert Blake, CEO at Achronix. “Embedded FPGA is the latest offering that promises to be far more than simply a ‘better mousetrap.’ The emergence of embedded FPGA is, in fact, not only essential at this juncture of the microelectronics sector, but also inevitable.”

Design will become more important. “Greater emphasis will be placed on the ability of the design engineer and their tools/flows/methods to innovate and deliver value to the product,” says Rob Knoth, product management director for the Digital & Signoff Group at Cadence. “The importance of an integrated design flow to make a difference in product power/performance/area (PPA) and schedule/cost will increase. The role that engineering innovation and semiconductors play in making the world a better place doesn’t get a holiday or have an expiration date.”

The drive for faster, cheaper and lower power sows no end in sight. “I am a consumer of high-performance computing myself and as a customer we are nowhere close to what we need in terms of processing power for what we want to be doing in the future,” says Fujimura. “There is a lot of innovation yet to be had to take advantage of additional compute power and as long as there is market demand, there will be a business that drives that.”

Markets
According to the predictions, three general categories are driving semiconductors for 2017 — (IoT), Automotive and Artificial Intelligence. There is also significant overlap between these areas. In addition, one very necessary area is causing a few slowdowns — security.

“2017 will be the year of hardware insecurity,” proclaims Jen Bernier, director of technology communications for Imagination Technologies. “Security is a make-or-break issue, and the problem will undoubtedly get worse before it gets better. Every day more connected products are hacked. In 2017, multi-domain security will gain widespread acceptance as the necessary way to protect embedded devices.”

Progress has been made, but “there is a lack of serious effort and understanding to implement security in hardware except in the metering, financial, and mobile markets where standards are well defined,” says Ron Lowman, strategic marketing manager for IoT at Synopsys. “The Industrial Internet of Things (IIoT) is developing secure gateways, but the end nodes still need security upgrades and provisioning with integrated embedded SIM solutions. There still is a notion that software security will be good enough. New challenge response requirements for authentication standards will push many to implement more robust security architectures and hire more security expertise to comply without compromising the user experience.”

Delays may cause a change in public behavior. “In 2017, we have the potential for security concerns to start a retreat from always-on social media and a growing value on private time and information,” warns Knoth. “I don’t see a silver bullet for security on our horizon. Instead, I anticipate an increasing focus for products to include security managers (like their safety counterparts) on the design team and to consider safety from the initial concept through the design/production cycle.”

The automotive industry is also trying to recover from some high-profile security lapses. “The ISO 26262 committee is discussing security as part of safety,” says David Kelf, vice president of marketing for OneSpin Solutions. “That suggests automotive designs for safety will need to be built to be as secure as possible and tools to help predict vulnerabilities will become more important. Expect to see announcements on formal-based, advanced security features.”

There has been a lot of discussion about the business model associated with the IoT. “Value shifts will accelerate from pure semiconductor value to systemic value in IoT applications,” says Frank Schirrmeister, senior product management group director in the System & Verification Group at Cadence. “The edge-node sensor itself may not contribute to profits greatly, but the systemic value of combining the edge node with a hub accumulating data, and sending it through networks to cloud servers in which machine learning and big data analysis happens, allows for cross-monetization. The value definitely is in the system.”

Much of the IoT relies on wireless communications. “Wireless connectivity will be integrated into more and more SoCs,” adds Bernier “There is a clear trend away from using separate wireless combo chips toward integrating connectivity onto the main application processor as companies look to reduce power, silicon area, and bill-of-materials costs for connected devices.”

And communications has an impact on many other markets. “Many smart home and wearable applications have two issues,” says Synopsys’ Lowman. “First standard connectivity options are not interoperable enough, and Bluetooth 5, NB-IoT, and the coming of 5G will help remedy this. And second, they don’t provide enough value to users beyond connectivity. Things will not be dictated to by the cloud. Instead, advanced vision, audio recognition, and touch/gesture systems will enable new products to get the data needed from the cloud to enable productivity efficiencies for industrial applications and more valuable use cases to consumer applications.”

And the architectures across the domains are changing. “2017 will be a key year in the race between IoT processor architectures,” says Schirrmeister. “Even Open Source hardware architectures are looking like they will be very relevant judging from the recent momentum which eerily reminds me of the early Linux days. It’s definitely one of the most entertaining spaces to watch in 2017 and for years to come.”

Bernier also sees architectural changes in the cloud. “Hardware accelerators will enable the cloud to scale. An ever-increasing need for more processing power is leading companies to use function specific accelerators. Such accelerators will provide a path to ensuring cloud service providers’ infrastructure continues to scale.”

Automotive
2016 was a big year for automotive. “Autonomous vehicle technology reached an inflection point,” says Cadence’s Knoth. “Looking ahead to 2017, both the breadth and depth are expected to expand, including the first operation of SAE level 4/5 in limited use on public streets outside the U.S., and on private roads inside the U.S. Outside of ride sharing and city driving, I expect to see the increasing spread of ADAS technology to long distance trucking and non-urban transportation.”

To enable this, additional investments from traditional vehicle OEM’s partnering with both software and silicon companies will be needed to enable high-levels of autonomous functions. “To help bring these to reality, I also expect the release of new standards to guide both the functional safety and reliability of automotive semiconductors,” adds Knoth. “Even though the pace of government standards can lag, for ADAS technology to reach its true potential, it will require both standards and innovation.”

The technology development for automotive will also find additional application. “We will see a transition from manual to semi-autonomous technologies in automobiles, drones, and some robotics applications,” adds Bernier. “It will also see further regulation as these technologies begin to proliferate.”

An interesting area of overlap is the field of artificial intelligence (AI), which is seeing a significant boost from developments in convolutional neural networks. (This is discussed in depth in the article “The Multiplier and the Singularity.”)

The advances in audio and video processing will enable other fields to advance, as well. Bernier adds three areas which will be impacted in 2017. “First , 360° video won’t flop like 3D TV. 360 video will also begin to find its way into applications such as surveillance, automotive and medical training. Second, today’s VR devices are relatively affordable and offer compelling experiences while mostly eliminating simulation sickness. VR will reach the next level as audio/visual processing technology develops to enable more devices to capture scenes and immersive audio, and devices become untethered. Third, real-time ray tracing will make the unreal look real. Forces such as economics, new use cases, the advancing frontier of game rendering, and growing interest in VR, AR and mixed reality applications will push the need for this technology.”

Related Stories
CEO Outlook: Chip Design 2017
Political uncertainty, tempered optimism, continued consolidation, and concerns about capacity.
New Wave Of Consolidation
What’s driving it, what’s next, and who should be concerned?
Things To Come This Year
What lies ahead for the Internet of Things?
Reflecting Back On 2016
How last year’s predictions for tools, design and manufacturing panned out over the past 12 months.



Leave a Reply


(Note: This name will be displayed publicly)