Searching For EUV Mask Defects

What comes after optical inspection isn’t clear, and that’s a problem.

popularity

Chipmakers hope to insert extreme ultraviolet (EUV) lithography at 7nm and/or 5nm, but several challenges need to be solved before this technology can be used in production.

One lingering issue that is becoming more worrisome is how to find EUV mask defects. That isn’t the only issue, of course. The industry continues to work on the power source and resists. But the photomask is critical for the deployment of EUV.

In simple terms, a chipmaker designs an IC, which is translated from a file format into a photomask. The mask is a master template for a given IC design. It is placed in a lithography scanner, which projects light through the mask. That, in turn, is used to pattern images on a wafer.

If the mask has a defect, the irregularities might get printed on the wafer. So it’s important to capture mask defects. But that’s not always easy, especially for EUV masks.

To find defects, the industry wants use a technology called actinic pattern mask inspection (APMI). Using the same 13.5nm wavelength as EUV, APMI can supposedly find more defects than today’s inspection systems using optical techniques. But APMI is still in development, so for now the industry mainly uses optical inspection to detect defects for both EUV masks and traditional optical masks.

Using a 193nm wavelength, optical inspection arguably can detect defects for EUV masks at 7nm. But even at 7nm, and certainly for 5nm and beyond, optical inspection is limited and unable to resolve defects beyond a certain resolution.

At each new node, EUV mask defects become smaller and harder to locate. So to meet these challenges, EUV mask inspection requires an assortment of tool types across the entire supply chain. The inspection strategy also involves a pellicle, a thin membrane that prevents particles from landing on the mask.

All told, the complexity of inspection and other steps in the EUV mask fabrication process will likely result in longer turnaround times and higher costs for EUV mask makers and their customers.

Fortunately, though, the industry is working on new EUV mask inspection technologies that could help solve some but not all of the issues. For example, ASML, KLA-Tencor and others are developing new multi-beam e-beam inspection technologies for EUV masks. In addition, some are pursuing APMI.

In 2016, the overall mask equipment inspection market reached $328 million, down 38% from 2015, according to Gartner. “We are expecting it to rebound to about $450 million in 2017. This market is cyclical based on the introduction of new technologies into volume production,” said Bob Johnson, an analyst with Gartner. The firm didn’t break out the numbers for EUV mask inspection.

Mask flow
GlobalFoundries, Intel, Samsung and TSMC hope to insert EUV lithography at 7nm and/or 5nm. “(EUV is required) to keep the effect of Moore’s Law going,” said David Hemker, senior vice president and technical fellow at Lam Research, at a recent event.

But as before, there are several hurdles to bring EUV into production, namely the power source and resists. “We have to overcome these problems by being creative,” Hemker said.

The mask is also critical. The industry has been developing EUV masks for several years. The goal is to make defect-free EUV masks at reasonable costs.

Today, however, EUV mask costs are high. The industry is making strides in reducing EUV mask defects, at least in the R&D stages. But the defect requirements will become more stringent once EUV moves into high-volume manufacturing (HVM). “Defect criteria is looser during the early cycle. It will progress over time to an HVM level. The HVM levels are certainly not loose,” said Jeff Farnsworth, director of mask technology for Intel Mask Operation, the captive photomask unit of Intel.

So, it will take more than just throwing a few inspection tools in the mask shop. In fact, it requires a sound inspection strategy across the three main parts of the mask flow. “You have to look at this holistically,” said Weston Sousa, general manager of the Reticle Products Division at KLA-Tencor. “You have to go all the way from the blank supplier through the mask shop and into the IC fab.”

The EUV mask production flow starts with the production of a mask blank. Made by a mask blank vendor, the blank serves as the base structure of a mask. Today’s optical mask blanks consist of an opaque layer of chrome on a glass substrate.


Fig. 1: Fabrication of EUV mask. Source: Sematech

In contrast, an EUV mask blank consists of 40 to 50 alternating layers of silicon and molybdenum, resulting in a multi-layer stack that is 250nm to 350nm thick. On top of the stack, there is a ruthenium-based capping layer, followed by an absorber based on a tantalum material.


Fig. 2: EUV mask blank. Source: GlobalFoundries

The EUV mask blank production process is complex and the blanks are expensive. And at times, the production process creates defects, such as particulates, pits and bumps, in the multi-layer stack.

“(In the mask blank), the key defect is called the phase defect. Phase defects are mainly contributed by the substrate. If we want to solve the problem, we need an almost perfect substrate,” said Banqiu Wu, principal member of the technical staff and chief technology officer of the Mask and TSV Etch Division at Applied Materials. “But the materials naturally have some porosity. So no matter how perfect the materials are, you might always have pits. The challenge is to find the defects.”


Fig. 3: EUV photomask. Source: University of Hyogo

Fortunately, though, the industry has developed various inspection tools for use in detecting defects in EUV mask blanks. Lasertec and KLA-Tencor sell optical-based inspection tools for EUV mask blanks.

In addition, Lasertec recently introduced an actinic inspection system. This system is used for EUV mask blank inspection, not APMI. If it existed, APMI would be used when the mask is patterned.

Today, mask blank suppliers now have both optical and actinic inspection tools at their disposal. Optical works, but actinic is required to capture the phase defects. EUV mask blanks require a tool with sensitivities from 16nm to 20nm. A tool must have the ability to locate the defect with a positional accuracy within 8nm to 12nm.

“Only actinic inspection can catch the phase defects,” said Naoya Hayashi, research fellow at Dai Nippon Printing (DNP). “Optical inspection can catch the amplitude defects with certain pit and/or bump sizes on the blank surface.”

But thanks to the improvements in the EUV mask blank fabrication process, the industry has reduced the defect levels in the blanks to the single-digit level today, compared to hundreds not long ago.

Once the mask blank defects are located by the inspection tool, they are marked and covered by an absorber. Then, the mask blank is shipped to the photomask vendor.

Making EUV masks
At this point, the mask is made. For optical masks, the mask blank is patterned using an e-beam mask writer. Then the mask is inspected for defects and repaired.

Following that, a thin membrane called a pellicle is mounted on the mask. Based on a polymer material, a pellicle prevents particles from landing on the mask.

But with EUV, though, there are some extra steps in the flow. As stated above, the EUV mask blank may have defects. Nonetheless, the e-beam mask writer patterns the mask, but the tool avoids the defects using so-called pattern shifting techniques. Pattern shifting works, but it involves extra steps and is time consuming.

After the mask is patterned, it is inspected for defects. For this, the industry would like to use APMI, but there is still no tool available.

Several years ago, KLA-Tencor started to develop APMI, but it halted the project when it was unable to secure funding from the industry. APMI required $500 million in funding, but the industry balked.

Despite the previous setbacks, the industry is still working on APMI. Lasertec is reportedly developing an APMI tool, according to sources.

Others are working on more exotic approaches. For example, NuFlare and the Paul Scherrer Institute are co-developing an actinic technology called RESCAN. Using a synchrotron storage ring, the technology combines scanning scattering contrast microscopy and scanning coherent diffraction imaging.

All told, it could take several years before APMI appears in the market. It is complex and expensive to develop.

With or without APMI, though, the EUV mask is generally inspected at least three times in the mask shop. The first two are pre-pellicle inspection steps, while the third involves a post-pellicle inspection.

In the pre-pellicle stage, the EUV mask is patterned and then inspected. Then, if there are any reparable defects, they are fixed with a repair system and the patterned mask is inspected again.

For these inspection steps, mask makers can use two types of inspection tool technologies—optical and e-beam. The most common technology is optical based on 193nm or related wavelengths. Applied Materials, KLA-Tencor and NuFlare sell optical-based mask inspection tools.

Inspecting optical and EUV masks are different. For example, KLA-Tencor has devised a dual-imaging technique for its optical tool. First, the system performs a high resolution step, which lights up the potential defects on the mask. Then, it performs an aerial imaging inspection step that finds additional defects.


Fig. 4: Mask inspection tool image acquisition. Source: KLA-Tencor

However, aerial imaging doesn’t work for EUV masks, as this technique is non-actinic. So for EUV mask inspection, KLA-Tencor’s optical tool performs various high-resolution techniques, such as off-axis illumination.

“The whole idea is we are trying to increase the signal-to-noise,” KLA-Tencor’s Sousa said. “We increase the signal by using a different polarization. We also can do additional passes so that certain defects can be caught at a certain polarization or imaging condition.”

Optical inspection works, but the technology is limited in terms of resolution. “It depends on the patterns and they vary a lot by customers,” Sousa said. “Customers believe you might be able to push it down to 16nm half-pitch.”


Fig. 5: Limitations of optical inspection for EUV masks. Source: Intel

At 16nm half-pitch and beyond, though, the industry requires a different solution, namely e-beam inspection. Using a single beam, e-beam inspection has sensitivities down to 3nm or lower, but it’s slow in terms of throughputs.

“They will have to use that for EUV,” Gartner’s Johnson said. “Also, you’d have to do multi-beam to get any kind of decent throughput.”

In fact, for some time the industry has been working on a next-generation technology called multi-beam e-beam inspection. Still in the R&D stage, multi-beam inspection tools are supposedly faster than single-beam systems at the same resolutions.

With optical inspection, it takes about three to five hours to inspect an EUV mask. The hope is that multi-beam inspection can achieve the same inspection times as optical. “In general, e-beam inspection solutions in the semiconductor industry are looking for significantly better productivity, especially in light of the increasing gaps in optical limitations,” said Ram Peltinov, senior director of strategic marketing for the Process Diagnostics and Control Group at Applied Materials.

Multi-beam inspection technology is challenging, however. In e-beam inspection, the electrons hit the surface and then scatter. The goal is to corral the secondary electrons, or backscattered electrons, into a sampling area for inspection purposes.

In multi-beam, though, the electrons tend to disturb each other, thereby impacting the performance of the tool. The inability to control the electrons is why multi-beam inspection is taking longer to commercialize.

Seeking to solve the problem, the industry is working on two types of multi-beam e-beam inspection architectures—multi-beam and multi-column.

Multi-column has some advantages. “The challenge with multi-beam, since you only have one source, is that you are sharing those electrons with all the multiple beams. So your signal-to-noise gets worse,” KLA-Tencor’s Sousa said. “The advantage of (multi-column) is that it has an independent source, a sensor and a column to manipulate the electron beams. The downside of it verses multi-beam is you can’t get them as dense.”

Multi-beam inspection has better resolution than optical, but how does it fare against APMI? APMI is more expensive to develop. “If you can control the particles or defectivity of light, we feel that e-beam inspection is a better and a more cost-effective solution,” he added.

Today, Applied Materials and ASML sell single-beam e-beam tools for both wafer and mask inspection. In the multi-beam e-beam camp, Zeiss has developed a 91-beam scanning-electron microscope for EUV mask inspection/validation. In addition, KLA-Tencor is developing a multi-column tool for 5nm. Applied, ASML and NuFlare are working on similar systems.

Pellicle woes
Meanwhile, there are two pre-pellicle inspection steps in the EUV mask flow. Once those are completed, the next step is to mount an EUV pellicle on the mask and then inspect it.

There are some challenges here, however. ASML, the sole supplier of EUV pellicles, has developed a polysilicon-based pellicle that’s 50nm thick.


Fig. 6: Prototype pellicle. Source: ASML

Here’s why the industry wants APMI. In theory, APMI could inspect the mask with a polysilicon-based pellicle on top. But APMI doesn’t exist today.

The other problem? Today’s optical and e-beam tools can’t inspect EUV masks with the pellicle on top. Unfortunately, the polysilicon-based material is opaque at 193nm or other wavelengths.

So ASML has developed a retractable pellicle. In operation, when it’s time to inspect a mask, the EUV pellicle is automatically raised and a tool inspects the mask. Once that task is completed, the pellicle is automatically lowered and re-attached to the EUV mask.

However, the removable pellicle mechanism may encounter a glitch during the process. On top of that, the EUV pellicle itself is brittle. It’s unclear if the pellicle can withstand the temperature requirements in the EUV scanner. In addition, the pellicle also must transmit EUV light at a rate of 90% or more. If the transmission rate is lower it could slow down the scanner.

“The pellicle is challenging for a couple of reasons,” said Ben Rathsack, senior member of the technical staff at TEL. “The first involves defectivity. Right now, you don’t know if there is a defect until it’s printed. And second, there is an issue of power loss, which can affect speed. The industry is still trying to figure out how serious this is.”

Given the issues with the pellicles, chipmakers have two options. First, they may wait for the EUV pellicles to mature and then use them. Or second, they may move into production without them—at least initially. But with or without a pellicle, the mask is inspected using optical and/or e-beam. Provided the mask meets spec, the reticle is shipped to the fab.

More steps
Today in the fab, the optical mask is often inspected during the flow. Chipmakers also will inspect EUV masks in the fab. But the strategy hinges on whether pellicles are available. If chipmakers move into production without a pellicle, unwanted particles can land on the mask. And if they move into production with a pellicle, they will need to inspect the mask on a consistent basis. The fragile nature of the pellicle may cause a breach in the material, putting the mask at risk.

In either case, chipmakers must inspect the mask in the fab. In some cases, they would use traditional optical and/or e-beam mask inspection tools. For EUV, though, it requires additional steps, which are commonly called print check or wafer validation. The idea is to take the mask, insert it into an EUV scanner and then print some wafers.

“Then we have to do an optical full-field inspection to find any large defects,” said Jed Rankin, a senior member of the technical staff at GlobalFoundries. “Then, (we will) likely do SEM-based high-resolution full-field inspection to cover the gap, because optical tools cannot push us to the threshold where we detect all of the relevant defects.”

Chipmakers would prefer to avoid or reduce the number of print check steps. It increases the fab cycle time, which is the amount of time it takes to process a wafer from start to finish. “(Print check is) not something we want to do and it’s not something sustainable long term. But in terms of ramping up the technology in early HVM, it’s not only something we have to do but it’s something that’s pretty viable,” Rankin said.

The ultimate arbiter of the relevance of defects is whether it prints on a wafer. “Given the challenges of EUV lithography, wafer print is growing in importance for detecting defects that originate on the mask, or as a result of the interaction between the mask and the process window,” said KLA-Tencor’s Sousa. “So-called repeater defects are detected on the wafer using optical-wavelength wafer inspection systems, and then traced back to the reticle. With extremely small process windows at the developing device nodes, we have found that some of these defects print inconsistently from one die to another. The same pattern types, those most sensitive to process window fluctuations (hotspots), may print inconsistently even within the same die.”

To be sure, EUV mask inspection presents some challenges, but the industry is up to the task. With print check and other steps, mask and fab cycle times are expected to increase with EUV, in general.

“We still have to get masks out as quickly as possible at the cheapest possible (cost) and meet the quality requirements,” said Rankin. “For EUV, we need to rethink what that cycle time means.”

Related Stories
Challenges Mount For Photomasks
Optical proximity correction, EUV pellicles, inverse lithography and actinic inspection make it hard to achieve a return on investment at advanced nodes.
Looming Issues And Tradeoffs For EUV
New lithography tools will be required at 5nm, but pellicles, resists and uptime are still problematic.
More EUV Mask Gaps
Pellicles and inspection remain problematic.
Mask Maker Worries Grow—Part 1
Gap widens between economic returns and the amount of R&D required to fully utilize next-generation lithography.
Mask Maker Worries Grow—Part 2
Problems continue to grow at each new process node, and so do the costs.



2 comments

memister says:

Great review article.

JackL says:

How many mask inspection stations are needed to handle the output of one EUVL system?

Leave a Reply


(Note: This name will be displayed publicly)