Survey: EUV Optimism Grows

Photomask business is expanding despite several challenges.

popularity

The confidence level remains high for extreme ultraviolet (EUV) lithography, although the timing of the insertion remains a moving target, according to a new survey released by the eBeam Initiative.

At the same time, the outlook for the overall photomask industry is bullish, according to the survey. On the downside, however, there appears to be no progress in terms of improving mask turnaround times at leading-edge nodes, according to the survey.

For some time, the mask industry has experienced flat growth. More recently, though, the industry is seeing growth at both the trailing and leading edges. In the 2018 survey, respondents predicted the photomask market will increase at a compound annual growth rate (CAGR) of 4.1% or more between 2018 and 2020.

“The mask industry is finally seeing an uptick,” said Aki Fujimura, chief executive of D2S. D2S is also the managing company sponsor of the eBeam Initiative. The eBeam Initiative consists of many members. In the latest announcement, Tokyo Electron Ltd. (TEL) has joined the group.

The data on EUV, the photomask outlook and other topics come from two new surveys released by the eBeam Initiative. One survey from the group is called the “perceptions survey.” Industry luminaries representing nearly 40 companies from across the semiconductor ecosystem participated in the perceptions survey.

The eBeam Initiative also completed its fourth annual “mask makers’ survey” with feedback from 10 captive and merchant photomask manufacturers.

Based on the survey, the industry remains upbeat about EUV. In the survey, 82% of respondents predict that EUV lithography will be used in high-volume manufacturing by 2021, while only 1% of respondents predict it will never happen.

The timing of the insertion is less clear. In the 2017 survey, 16% of respondents predicted that EUV will be used in high-volume manufacturing by 2018. In the 2018 survey, though, 4% of respondents said that EUV would be used in mass production by 2018.

In the 2018 survey, 31% of respondents said that EUV will be used in mass production by 2019. That compares to 33% in last year’s survey.

Regardless, the industry is ramping up EUV in a big way. “ASML still expects to ship 20 EUV systems in 2018; it shipped 7 in 1H, leaving 13 for 2H,” said Weston Twigg, an analyst at KeyBanc Capital Markets, in a recent research note. “ASML reiterated its plan to ship 30 EUV systems in 2019. The company also highlighted efforts to accelerate productivity improvements that should enable wafer output exceeding 155 wafers per hour, while also improving tool availability; these improvements will likely be available in 2019.”

The industry hopes to use EUV to reduce the number of process steps at the 7nm node. In theory, this is supposed to reduce manufacturing costs.

Still, it takes time to put EUV into mass production. For example, since earlier this year, TSMC has been shipping 7nm using traditional 193nm lithography. In July, TSMC taped-out its first 7nm device using an EUV lithography scanner. TSMC plans to bring EUV in mass production during the first half of 2019. “That is Q2 2019, which will be the world’s first EUV foundry production by that time,” said C. C. Wei, chief executive and vice chairman of TSMC, in a recent conference call.

Meanwhile, there are also positive trends for masks in general. “In this year’s surveys, the substantial increase in the total number of masks delivered, the beginning ramp-up of EUV masks, and continued increases in all factors that influence mask turnaround times for the leading-edge nodes stood out to me as important trends,” D2S’ Fujimura said.

In the survey, ten participants reported that they delivered 27% more masks in 2018 versus 2017, while overall mask yields remained steady at nearly 94%. That’s the total number of masks.

The number of delivered EUV masks also more than doubled, from 1,041 in 2017 to 2,185 in 2018, according to the survey. EUV mask yields improved to 72%, according to the 2018 survey. In the 2017 survey, EUV mask yields were low at 64.3%.

Another issue is mask turnaround times. No progress appears to have been made in mask turnaround time for leading-edge nodes, according to the survey. Here are some of the reasons:

*The average mask write times for today’s single-beam mask writers are increasing. In fact, the write times increased by more than 20% compared to last year’s survey, from 6.8 hours to nearly 8.3 hours.

*Mask data prep times remain high at advanced nodes.

*Mask data volumes per layer increased on average compared to last year.

To help with the turnaround times, the industry is beginning to use multi-beam mask writers. IMS, for one, has been shipping a multi-beam mask writer.

Perceptions on the need for multi-beam mask writing remain strong, with 83% of respondents indicating that EUV adoption requires the technology, according to the survey. Some 82% of respondents indicated that multi-beam will be used in high-volume manufacturing by the end of 2020. “The overwhelming confidence expressed in the perceptions survey for multi-beam mask writing is a positive sign for the industry, as multi-beam helps with the mask turnaround time issue, particularly for writing with slower resists and with complex mask shapes,” D2S’ Fujimura said.

Fujimura will present the results of the eBeam Initiative’s mask makers’ survey at this week’s SPIE Photomask Technology Symposium in Monterey, Calif.



2 comments

Guest says:

Even among optimists, the EUV timing is uncertain.

Diogenes Cicero says:

Ten years and 6 nodes late, insertion timing remains in doubt. Not to mention throughput, uptime, resist, pellicles, etc.

Leave a Reply


(Note: This name will be displayed publicly)