Survey: Mask Complexity To Increase

Optimism grows for EUV and multi-beam mask writers, according to the survey.

popularity

The eBeam Initiative today released its annual members’ perceptions survey, a set of results that reveals some new and surprising data about EUV, multi-beam and photomask technology.

As part of the results in the new survey, there is a growing level of optimism for the implementation of extreme ultraviolet (EUV) lithography in high-volume manufacturing, as compared to last year’s results. In addition, the optimism is also building for multi-beam e-beam technology for photomask writing, according to the new survey.

On top of that, the eBeam Initiative also released a new and separate merchant and captive mask makers’ survey, which indicates that photomask complexity and longer write times are here to stay.

“Mask making is becoming increasingly difficult,” said Aki Fujimura, chief executive of D2S. “The big point is that complexity is growing for mask makers.”

The eBeam Initiative is an organization that provides a forum for e-beam technologies. In a separate announcement, Zeiss said that it has joined the eBeam Initiative.

As in past years, the eBeam Initiative released its members’ perceptions survey. This year, the organization also picked up the remnants of the mask makers’ survey, which was previously handled by Sematech. Sematech discontinued that survey in 2013.

Meanwhile, 64 industry experts representing 35 different companies participated in eBeam Initiative’s traditional perception survey this year.

In this survey, multi-beam e-beam for mask writing is gaining steam. Some 62% of respondents predict that mask makers will begin to use multi-beam e-beam technology for photomask production by the end of 2016. In addition, 96% of mask makers indicate that multi-beam e-beam tools will be used for high-volume mask writing by the end of 2018.

Mask makers want multi-beam e-beam technology for good reason. Today’s single-beam e-beam tools are improving, but they are just barely keeping up with complex masks. Still in the R&D stage, multi-beam e-beam technology promises to address the critical problem of mask write times as the industry moves to smaller geometries.

Meanwhile, based on this year’s results, the mood is changing for EUV. In last year’s survey, 60% of respondents believed that EUV would not be used in high-volume manufacturing until 2017 or beyond. This compares to 55% in the 2013 survey. Meanwhile, in last year’s survey, 35% of respondents indicated that EUV lithography would never be used, compared to 22% in the 2013 survey.

In this year’s survey, the eBeam Initiative polled five next-generation lithography (NGL) technologies. Respondents predicted that EUV will be used in at least one manufacturing step by 2020, with an average confidence rating of 62%.

EUV has the highest confidence rating in the survey, followed in order by nano-imprint (45%), DSA (41%), complementary e-beam lithography (36%) and e-beam direct-write (26%).

“It’s absolutely clear that EUV is leading the pack,” D2S’ Fujimura said. “In general, the confidence in EUV has gone up since last year.”

EUV is making progress. For example, ASML has reported that the EUV power source is operating at 80 to 90 Watts, compared to 10 Watts a year ago. Still, the industry wants a 250 Watt power source to bring EUV into mass production.

There are also challenges with EUV resists and the mask infrastructure. And all told, it’s still unclear if EUV will hit the market window at the 7nm node.

But even if EUV happens, the mask will continue to get more complex. In the new survey, 59% of respondents predict that EUV will drive the need for complex mask shapes.

More data
Meanwhile, the eBeam Initiative also disclosed the results from its first-ever mask makers’ survey. Participating in this survey were AMTC, DNP, GlobalFoundries (IBM), Hoya, Photronics, Samsung, SMIC and Toppan.

According to the survey, the number of masks per mask set are increasing at each node. At 180nm, for example, there was an average of 28 masks per mask set. That has jumped from an average of 49 masks per mask set at 32nm, to 57 at 22nm, and 60 at 16nm, according to the survey. “It’s not surprising that this is steadily increasing,” D2S’ Fujimura said. “This is basically a function of multiple patterning.”

Going forward, the number of masks per mask set is expected to jump to 67 at 11nm and 77 at less than 11nm, according to the survey.

As before, e-beam write times are increasing. From 2001 to 2005, e-beam write times were constant, averaging 8 hours per mask set. As a result of mask complexity, the write times have increased by about 25% a year since 2011.

According to the new eBeam mask survey, average mask write times have exceeded the nine-hour mark (9.6 hours), while the longest write time reported was 72 hours. “The range is 18 to 72 hours, depending on the respondent,” Fujimura said.

The average data file size for a single mask layer ranges from 3- to 100-Gbytes, according to the survey. The average data file size for a single mask layer is 38 Gbytes. In addition, a strong majority (75%) of mask makers predict that they will modulate exposure dose on a per-shot basis in 2017.

The complete results of both surveys are available for download here.



1 comments

memister says:

A slight bias: eBeam initiative has pro-EUV because it presents opportunities for writing smaller features on the mask.

Leave a Reply


(Note: This name will be displayed publicly)