Home
OPINION

The Final Deadline For EUV

After nearly two decades of promises, multi-patterning seems the likely winner. Now what?

popularity

When TSMC disclosed this week—in a public forum—that its production EUV lithography test had failed in one of the early test runs due to a power source issue, there were very different reactions. EUV, after all, is an emotional issue with billions of dollars invested and lots of jobs riding on this technology.

To begin with, there has been the usual spin control. The message essentially is that this is just a hiccup and fixes will be forthcoming in the very near future. ASML and TSMC claimed the glitch was due to merely to a tool set-up issue. There also are the naysayers, who have predicted for years that this approach is never going to work and who now can pat themselves on the back for calling it right. And there are the alternative tool vendors sitting quietly on the sidelines, keeping their fingers crossed that something is truly broken in the litho world.

The real answer may be that they’re all right—and all wrong. As with any complicated technology, there are no simple answers. At the leading edge, which is where the most attention has been placed, EUV may indeed have missed its market window. As line widths drop to 7nm and beyond, EUV may already be outdated. The future there may be directed self-assembly or some other exotic technology that has been ignored for years in the struggle to get EUV working.

To be sure, EUV is every bit as complicated a technology as cold fusion. The people working on a power source to make a production-worthy version of EUV are brilliant by any standard, and they heroically have committed a significant portion of their careers to making this work. They’re a lot closer now than they were a couple years ago, and presumably the rewards will show up in semiconductors produced with 10nm, 14/16nm and even 20nm line widths for years to come. The biggest volume is always at older nodes, and a single pass of a beam of light rather than multi-passes and multi-patterning will go a long way toward reducing costs and easing manufacturing issues for many years to come.

This technology may not, however, have the same positive impact on the most advanced process nodes going forward. Line widths are getting too small even for EUV—at least with single patterning. And while the people who made this technology possible should be applauded for their efforts, the reality is that a search needs to continue for the next-generation lithography after EUV.

Perhaps the biggest winner, though, isn’t lithography, at all. It’s the other approaches that have been given short shrift while the industry waits to see the outcome of EUV. Die stacking, in particular, has been stalled on the sidelines while EUV gets all the attention. What’s interesting about 2.5D and 3D is that it benefits a whole slew of companies, not just a few—everything from test to materials to advanced tools to measure thermal effects, stress effects, different layout options, and even exotic new technologies such as silicon photonics and microfluidics.

EUV will play a role no matter what happens going forward. But following a series of very public missed deadlines and continued issues involving commercial viability, there is rising doubt that it single-handledly can save Moore’s Law as we know it. Lithography is getting so complex that even the most brilliant minds, flush with resources and the best tools and techniques available, can’t meet deadlines anymore. Given that, it’s probably time to start thinking differently about the future.



5 comments

Glenn in Del Mar says:

Reminds me of my first meeting with the founders of Cymer, when I told them they were chasing the impossible dream, that they should switch to medical apps for excimer lasers. Of course, I was dead wrong, and so is Ed! They will make EUV work!

Diogenes Cicero says:

Define “work” please. You mean at 10 WPH, 50% up time, for making occasional cuts on gratings? OK, I suppose you might be right.

[…] Related story: What does this mean for lithography? […]

memister says:

A big issue for EUV is how the issues also fight each other. For example, source power needs to be increased continually with each generation to fight shot noise, but this worsens resist outgassing. Higher resolution would lead to higher NA tools, but this requires new multilayer tuning for larger angles, and probably a change of demagnification on the litho tools, leading to larger masks (9″) or stitching type exposures (which also slows things down, being multiple exposures). Pellicles would keep the masks clean during exposure, but for EUV they have absorption and heating issues.
A fixed exposure means (EUV, DUV, E-beam) eventually slows down that multiple patterning would need to become more efficient, not just repeated exposures. A common approach is spacer patterning, but recently directed self-assembly (DSA) has become quite hot, possibly requiring fewer steps than repeated spacers.

Diogenes Cicero says:

I am so reminded of the SST, although a few actually went into commercial service. Not for long, Not for many. Just like EUV. Whomever renamed soft x-ray projection lithography (SXPL) should either be given a Nobel Prize for Practical Jokes or drawn and quartered.

Leave a Reply


(Note: This name will be displayed publicly)