What Happened To ReRAM?

After years of delays, this next-gen memory is finally gaining traction.

popularity

Resistive RAM (ReRAM), one of a handful of next-generation memories under development, is finally gaining traction after years of setbacks.

Fujitsu and Panasonic are jointly ramping up a second-generation ReRAM device. In addition, Crossbar is sampling a 40nm ReRAM technology, which is being made on a foundry basis by China’s SMIC. And not to be outdone, TSMC and UMC recently put ReRAM on their roadmaps and are developing the technology for customers within the next year or so.

ReRAM had been touted for years as a replacement for NAND and other traditional memories, but ReRAM has proven to be far more difficult to develop than anyone initially expected. Moreover, NAND has scaled farther than previously thought, causing many to delay or scrap their efforts in ReRAM.

Not all chipmakers are backing ReRAM. GlobalFoundries and others, which are lukewarm about ReRAM, are developing different types of next-generation memory.

The advantages of ReRAM over flash memory are a lower read latency and a faster write performance. In traditional memory, the data is stored as an electric charge. In ReRAM, a voltage is applied to a material stack, creating a change in the resistance that records data (0s and 1s) in the memory.

Despite these attributes, only a few companies have shipped ReRAM thus far. Others are wrestling with ReRAM, as the technology is difficult to master from a physics standpoint. And in some cases, the performance and reliability of ReRAM falls short of its promise.

ReRAM won’t replace NAND or other memories, but it is expected to find its place, particularly in embedded memory applications. “ReRAM is a solution for cost-sensitive applications, such as wearables and IoT devices,” said Yau Kae Sheu, assistant vice president of embedded nonvolatile memory at UMC. “ReRAM is a fit for some low-end MCUs and consumer products with a lower memory density requirement.”

For future applications, though, ReRAM and other next-generation memories are being targeted for the so-called storage-class memory market. For years, the industry has been searching for a new memory type or storage-class memory that fits between main memory (DRAM) and storage (NAND flash) in systems, where there is a growing latency gap.

Neuromorphic computing is another potential application for ReRAM. Neuromorphic computing uses the computational functions inspired by the brain to enable artificial intelligence and machine learning. The industry must first learn how to tame ReRAM on a smaller scale before it tackles these markets, however.

Why next-gen memory?
For years, the industry has been developing ReRAM and other next-generation memories because traditional memory has an assortment of limitations. The new memory types promise to fill the gaps.

“They are addressing the issues with DRAM and NAND,” said Er-Xuan Ping, managing director of memory and materials within the Silicon Systems Group at Applied Materials. “NAND is slow. DRAM is fast, but it’s volatile.”

DRAM is volatile in that it loses the data when the power is turned off in a system. Flash stores the data even when the power is off. In operation, though, flash undergoes several read/write cycles, which is a slow process.

Generally, the next-generation memory types are fast, nonvolatile and provide unlimited endurance. They also provide bit-alterable, erase-free functions, making them ideal replacements for DRAM and flash.

The problem is these memories rely on exotic materials and complicated switching mechanisms, so the next-generation memory types have taken longer to develop. At the same time, the industry continues to scale DRAM and flash, making it difficult for the new memory types to get a foothold in the market.

Today, though, several new memory types are ramping up. 3D XPoint and STT-MRAM have the most momentum. The other types include carbon nanotube RAMs, FRAMs and ReRAM.

There is no single new memory type that can handle all applications. Each technology has different attributes that perform various functions. “I would expect these advanced memories to first find homes in applications that recognize or leverage one of their unique advantages,” said David Fried, chief technology officer at Coventor, now part of Lam Research.

Developed by Intel and Micron, 3D XPoint technology is a next-generation phase-change memory. Meanwhile, STT-MRAM uses the magnetism of electron spin to provide non-volatile properties.

Carbon nanotube RAMs use nanotubes to form resistive states. Meanwhile, FRAM uses a ferroelectric capacitor to store data.

ReRAM is different. In the works for years, ReRAM gained notoriety in 2008, when Hewlett-Packard observed one type of ReRAM, dubbed the memristor. For years, HP was developing a futuristic system called “The Machine,” which incorporated memristors. But after struggling with the technology, HP has moved towards a more traditional memory scheme for the system and has backed away from the memristor, analysts said.

Instead, HP has teamed up with Western Digital to develop another ReRAM technology. 4DS, Adesto, Crossbar, Micron, Panasonic, Samsung, Sony and others are also developing ReRAM.

So far, though, Panasonic is the only company shipping ReRAM in volume. Meanwhile, Crossbar is expected to ship its first ReRAMs by year’s end.

Others are struggling to get ReRAM out the door. “Adesto has been slow in shipping low-density CBRAM, which they believe will ship in volume in 2018,” said Alan Niebel, chief executive of Web-Feet Research, referring to conductive bridging RAM (CBRAM), which is one type of ReRAM. “WD-HP has been bogged down, but it may ship in 2019.”

Sony, meanwhile, is revamping its ReRAM efforts. For years, Sony and Micron were co-developing ReRAM, but Micron recently backed out of the project. Instead, Micron teamed up with Intel to focus on 3D XPoint, leaving Sony with ReRAM technology but no fab partner.

On the foundry front, meanwhile, SMIC, TSMC, UMC and others are developing and/or offering ReRAM processes for foundry customers. But two others, GlobalFoundries and Samsung, are not pushing ReRAM today.

Foundry vendors are exploring all next-generation memory types, but they are placing their bets on the technologies that will likely succeed over the long haul. “Investing in these technologies is an expensive thing to do,” said Dave Eggleston, vice president of embedded memory at GlobalFoundries. “The industry can only afford to invest in so many.”

GlobalFoundries, for example, is throwing its weight behind STT-MRAM. Samsung, TSMC and UMC are also developing STT-MRAM for foundry customers. “Out of all these technologies, the one that has the most commercial momentum is definitely MRAM,” Eggleston said. “Embedded MRAM is taking the forefront position. It’s going to be increasingly difficult for some of these other technologies to break into the business if that’s where the investment is.”

ReRAM has some merits, but it still faces an uphill battle. “ReRAM has proven to be somewhat disappointing in its adoption,” he added.

What is ReRAM?
ReRAM is also a difficult technology to master, but it’s a relatively straightforward process to make it in the fab. Both ReRAM and STT-MRAM require only a few mask steps and are manufactured in the so-called backend-of-the-line () in a fab. And both STT-MRAM and ReRAM are built on top of a contact or via at one of the metal layers of a chip.

Fabricating ReRAM is one thing, but making it work is the hard part. Generally, there are two main types of ReRAMs—oxygen-vacancy ReRAM and CBRAM. Oxygen-vacancy ReRAMs are known as oxide-based ReRAM or OxRAM.

Both OxRAM and CBRAM are two-terminal devices. They consist of a top electrode and a bottom electrode. A switching medium is situated between the two electrodes.


Fig. 1: Filamentary ReRAM technology. Source: Crossbar

In OxRAM, a metal oxide material is sandwiched between the two electrodes. When a positive voltage is applied on the top electrode, a conductive filament forms between the two electrodes. The filament consists of ion atoms.

When a negative voltage is applied on the bottom electrode, the conductive filament breaks. In effect, ReRAM switches between high and low resistive states. The change in resistance is represented by “0” and “1” in the memory.


Fig. 2: ReRAM in action. Source: Adesto

Like OxRAM, CBRAM also builds and destroys the filament to create resistive states. In CBRAM, though, a copper or silver metal is injected into the silicon, which forms a conductive bridge or filament between the two electrodes.

Others are working on a non-filamentary approach. Instead of forming a filament, this technology uses a self-rectifying technique to form a switching effect. Some classify this technology as an OxRAM.

Regardless, ReRAM technology is challenging. “If it can be done, ReRAM does offer benefits in terms of read/write latencies,” said Thorsten Lill, vice president at Lam Research, “but it is limited by reliability. As you switch the cell ten thousand times, the properties change. It seems to be related to this physical chemical effect of building filaments. That’s poorly understood.”

DRAM and flash deal with electrons. In comparison, OxRAM and CBRAM involve a complex process of moving and controlling tiny ion atoms that make up the filament. Electrons are lighter, while atoms are heavier.

“On paper, ReRAM looks simple. In reality, it’s not,” Applied’s Ping said. “When you have ions moving around in the materials, there is more than just electricity and an electro-field response to it. The inter-diffusion, temperature behavior and the electrical behavior must all come together. It has to work with many natural parameters. That’s why it’s complicated.

“For example, when you input an electrical pulse to any of these ReRAMs, there is an RC interaction. Depending on the RC interaction, the heat you create changes locally and is not the same. If there is a difference, the oxygen diffusion speed is different,” Ping said. “That’s the dilemma. At one point, the electrons might be too light. Then, the noise is high. At another point, the atoms are too heavy. But it takes more than just simple electricity to deal with it.”

OxRAM vs. CBRAM
In 2013, Panasonic was the world’s first company to ship ReRAM. At the time, Panasonic shipped an 8-bit microcontroller (MCU), which incorporated a 180nm ReRAM as an embedded memory block.

Last year, Panasonic, along with Fujitsu, jointly rolled out a second-generation ReRAM technology. Based on 180nm, the 4-Mbit ReRAM device is geared for low-power applications, such as portables and medical products.

Now, Panasonic is developing a 40nm ReRAM, which is targeted for 2018. UMC is manufacturing the technology on a foundry basis for Panasonic.

Panasonic’s ReRAMs are based on the OxRAM approach. “We believe the retention property of OxRAM is better than that of CBRAM,” said Zhiqiang Wei, chief engineer at Panasonic.

At 180nm, Panasonic’s ReRAM is based on a TaOx material with a Ta2O5 stalking matrix. In comparison, the 40nm ReRAM will incorporate the “same basic concept, but not the exact same stack,” Wei said.

On the device side, Panasonic’s ReRAMs are built around a 1T1R (one-transistor one-resistor) architecture. 1T1R requires a large transistor to enable enough drive current for the device. This, in turn, limits the memory densities for the chip.

But 1T1R is ideal for embedded memory applications. For embedded applications, OEMs use MCUs and other chips. Typically, MCUs integrate embedded memory on the same device. Used to store code and other data, embedded memory is typically based on EEPROM or NOR flash.

So where does ReRAM fit in? In just one example, the 4-Mbit ReRAM from the Fujitsu-Panasonic duo provides more density than EEPROM. In fact, the device is a drop-in replacement for EEPROM.

So, embedded ReRAM is positioned as a low-power, cost-sensitive solution that doesn’t necessarily require fast write speeds.“ReRAMs are positioned as a low-cost solution for IoT and other applications,” UMC’s Sheu said.

For now, ReRAM and its closest rival, STT-MRAM, are positioned for different markets. “MRAM is targeted for applications that require higher performance, such as MCUs and automotive,” Sheu said. “We believe that STT-MRAM could be a good alternative nonvolatile memory solution moving forward, as it offers better scalability and performance.”

In one application, STT-MRAM is positioned to displace NOR in MCUs at 22nm and beyond. NOR is difficult to scale beyond 28nm, prompting the need for a new solution like STT-MRAM. Over time, ReRAM is also a potential replacement for NOR.

So far, meanwhile, STT-MRAM has scaled to 28nm with 22nm and below in the works. In comparison, Crossbar’s ReRAM technology is sampling at 40nm with 28nm and below in R&D.

Fabless startup Crossbar is not using the OxRAM approach. Instead, it utilizes an electrochemical metallization process. From a mechanism standpoint, the process makes use of a metal-ion filament that is more akin to CBRAM, according to experts.

“The issue with (OxRAM) is that it’s hard to scale,” said Sylvain Dubois, vice president of marketing and business development at Crossbar. “It modulates the filament within the cell. The on/off ratio is not so great.”

With its electrochemical scheme, Crossbar says that the on/off ratio increases as it scales. “That means that this technology will improve when you scale to the next process node,” Dubois said.

So, Crossbar’s ReRAM devices can scale beyond 40nm. “Now, we are working with a 2xnm wafer foundry partner and even a 1xnm foundry partner,” he said.

More importantly, Crossbar’s ReRAMs have a lower read latency and a faster write performance than flash. Looking to leverage its technology in the market, Crossbar is working on two architectures—1T1R and a stacked memory device.

Crossbar’s 1T1R technology is geared for the embedded space. The first 1T1R products are based on a 40nm process, which is manufactured by SMIC.

Crossbar also is working on a technology for storage-class memory applications. For this architecture, the ReRAM consists of individual layers, which are stacked on the device. A built-in selector allows a single transistor to drive one or thousands of memory cells.


Fig. 3: Crossbar’s stacked memory architecture. Source: Crossbar

“With only four layers at 16nm, we can get to 32-gigabyte densities,” Dubois said. “With fewer layers, we can get to the gigabyte level.”

In the stacked configuration, Crossbar’s ReRAMs are targeted for solid-state drives and dual in-line memory modules. For these applications, ReRAM could be used in conjunction with existing memory. It could also displace some of the DRAM and NAND.

“I don’t think that we will replace one category overnight. We are here to open new ways to access data. So we don’t plan to have a plug-and-play flash replacement or DRAM replacement. It’s in-between,” he said.

ReRAM for embedded applications make sense, but the technology faces an uphill battle in the storage-class memory arena. “It faces competition with existing solutions,” Applied’s Ping said. “The ecosystem is controlled by the larger suppliers. So it’s not easy.”

It also takes vast resources to bring up a new memory technology into the market. For example, Intel and Micron are pushing 3D XPoint and they have the resources to muscle their way into the business.

What’s next?
While it remains to be seen if ReRAM can succeed as a storage-class memory, the technology also is being targeted for other segments, particularly neural networks.

Facebook, Google and others have developed systems using machine learning, which makes use of neural networks. In neural networking, the system crunches data and identifies patterns. Then, it learns which of those attributes are important.

Many of these systems use FPGAs or GPUs with SRAM-based memory. In R&D, the industry is working on ReRAM for this segment. ReRAM is much denser than the GPU/SRAM architecture, and new tooling or techniques may be required. “Lam is working very closely with our customers to identify the specific needs in etching, clean and deposition for emerging memories including ReRAM,” said Lam’s Lill. “Because new materials are involved, innovative solutions are needed.”

The use of specialized hardware like ReRAM is often associated with neuromorphic computing, as well. “Neuromorphic is analog,” Ping said. “OxRAM has that property. The resistance can be changed to meet the needs of neuromorphic computing.”

A neuromorphic system, however, would require a cascade of multi-stacked ReRAM devices. As stated above, a single ReRAM device is a difficult technology to control.

Controlling a number of ReRAMs is even more daunting. “Neuromorphic computing eventually needs some sort of control of the resistance,” Ping said. “Again, with ReRAM, you have atoms moving around in the filaments. So, there are multiple probabilities. This is the thing that is an unknown.”

Related Stories
Four Foundries Back MRAM
Next-gen embedded memory technology ramps up in wake of flash scaling issues.
What Are FeFETs?
How this new memory stacks up against existing non-volatile memory.
Get Ready For Nanotube RAM
This nonvolatile technology is as fast as DRAM, uses same tools and processes as other memory.
New Embedded Memories Ahead
Options grow as new wave of MCUs demand more capable NVM.
Sorting Out Next-Gen Memory
A long list of new memory types is hitting the market, but which ones will be successful isn’t clear yet.
NAND Market Hits Speed Bump
Transition from planar to 3D NAND is harder and more time-consuming than expected.



8 comments

realjjj says:

Maybe looking at it this way is just muddling the big picture.

Memory is about scaling. Horizontal, vertical, bits per cell and perf. Something that can scale very well for 1-2 decades, might have a chance.

MRAM might be all the rage in embedded but does it scale?
XPoint doesn’t scale great, with some luck it lasts for a few generations without generating loses overall but only if others can’t do much better soon.
Can WD bring proper 3D ReRAM to market – production was H2 next year on their public roadmap, no clue if that has changed. If they can ,that’s a big deal and might have limited appeal at first when it costs 1$ per GB (random number) but 5 years later at 20 cents per GB it becomes a lot more interesting.
It’s not like any new memory can ship too many bits from day one, CAPEX is always an issue. Going from 20k WSPM to 200k WSPM would take a few years, going hand in hand with cost declines and share gains.

memister says:

There has been an unspoken rule (maybe common sense) that if a company makes flash, it won’t try to aggressively market its ReRAM or MRAM or FeRAM or PCM or any alternative NVM, for fear of cannibalization.

When talking about scaling, the key thing is, can you scale down read current, to below 1 uA, for example? If not (due to read speed), then no known NVM is scalable, not even 3D-NAND (because of longer channel).

Mark LaPedus says:

Thanks for the comments realjjj and memister. I agree with you memister. Samsung is working on ReRAM, but it doesn’t want to cannibalize its 3D NAND biz.

ShaneB says:

Would be interested to get your more recent thoughts, particularly in light of 4DS developments.

DavidK says:

4DS Memory with Western Digital are jointly developing Non-filamentary interface switching ReRAM and have with IMEC already fabricated the technology using 300mm production tools used by high volume/high density manufacturers of memory.

It’s been classed as market disruptive technology, so for this reason I’m Interested to get your take on Non-filamentary interface switching ReRAM and how it’s unique attributes can compliment the storage class memory market.

Michael Mingliang Liu says:

“Today, though, several new memory types are ramping up. 3D XPoint and STT-MRAM have the most momentum. The other types include carbon nanotube RAMs, FRAMs and ReRAM… Generally, the next-generation memory types are fast, nonvolatile and provide unlimited endurance. They also provide bit-alterable, erase-free functions, making them ideal replacements for DRAM and flash.”

Good article. Anyone reading this in 2020?

Given reliability/stability risks of and cannibalization concerns about ReRAM, I wouldn’t bet on its commercialization success (just yet).

MRAM sounds more promising to me, as far as next-generation memory candidates are concerned…

Cheers!

guest says:

STT-MRAM has read disturb concerns, which is why SOT is being considered

Mark LaPedus says:

Imec and others are working on SOT-MRAM in R&D. It is still 2 or more years away from possible consideration. It’s not even a given.

See: The Next New Memories

https://semiengineering.com/the-next-new-memories/

Leave a Reply


(Note: This name will be displayed publicly)