Will Fab Tool Boom Cycle Last?

Early predictions are for solid growth in 2018, fueled by demand for memory and logic at advanced 10/7nm.

popularity

Fab equipment spending is on pace for a record year in 2017, and it now appears that momentum could continue into 2018.

Fab tool vendors found themselves in the midst of an unexpected boom cycle in 2017, thanks to enormous demand for equipment in and, to a lesser degree, DRAM. In the logic/foundry business, however, equipment demand has been relatively tepid in 2017.

In 2018, equipment demand looks robust, although the industry will be hard-pressed to surpass the record growth figures in 2017. In fact, based on the current projections, the IC equipment market is expected to cool down a bit and see more normal growth patterns in 2018.

In total, the semiconductor equipment market is expected to reach $70.4 billion in 2017, up 30.6% over 2016, according to VLSI Research. In 2018, the IC equipment market is projected to hit $73.5 billion, up 4.4% over 2017, according to VLSI Research.


Fig. 1: Semiconductor equipment market growth. Data source: VLSI Research

The forecasts, of course, could change, as there are a number of factors that could impact the fab tool sector. As before, economic factors and political issues play a big role in the arena.

Still, fab tool vendors are upbeat. “We expect 2018 to be another strong year of growth for the WFE (wafer fab equipment) market because there is a much broader set of demand drivers than in the past,” said Arthur Sherman, vice president of marketing and business development at Applied Materials. “Silicon content in smartphones and other mobile devices is increasing as vendors add greater functionality. Layering on top of that are several emerging trends such as IoT, big data, AI and smart vehicles that are creating demand for greater computing power and expanding storage capacity.”

To be sure, there are several growth engines for fab equipment. Here are some of the key markets that will impact equipment spending in 2018 and beyond:

• Several chipmakers are migrating from the 16nm/14nm to 10nm/7nm logic nodes in 2018, a move that could jump start equipment demand in the foundry/logic sector.
• 3D NAND will continue to be a big driver for equipment in 2018. In 3D NAND alone, Samsung’s capital spending outlays will reach a staggering $14 billion in 2017, according to IC Insights. In total, Samsung’s capital outlays are $26 billion in 2017, including 3D NAND, DRAM ($7 billion) and foundry ($5 billion).
• China remains a hot bed of activity in fab equipment spending, where both multinational and domestic chipmakers are building new fabs.
• Extreme ultraviolet (EUV) lithography is expected to move closer to production in 2018, but traditional lithography with multiple patterning will remain a big business for equipment makers.
• 200mm fab capacity will remain tight in 2018, prompting the need for 200mm equipment. But as before, 200mm tools will be hard to find.

More numbers
The signs are positive. Citing a boom in memory, the World Semiconductor Trade Statistics (WSTS) group predicts that the IC market will reach $409 billion in 2017, up 20.6% over 2016. In 2018, the IC industry will reach $437 billion, up 7% over 2017, according to the WSTS.

The foundry business is also steady. In total, the foundry sector is projected to grow 7% in 2017, according to Sebastian Hou, an analyst with CLSA. In 2018, the foundry business is expected to grow another 6% to 7%, Hou said.

In the equipment sector, meanwhile, the forecast is a moving target. In late 2016, for example, many predicted that the wafer fab equipment (WFE) market would range from $33.5 billion to $34 billion in 2017, up roughly 5% over 2016.

The forecasts were wrong. Thanks to a surge in 3D NAND equipment spending, the WFE market has exceeded expectations. “WFE is targeted to be above $45 billion in 2017, which represents about a 20% to 25% increase over last year,” said Oreste Donzella, senior vice president of global customer solutions and chief marketing officer at KLA-Tencor.

But will the momentum extend into 2018? So far, the demand picture looks solid, but vendors are cautiously optimistic and see single-digit growth, at least for now. “We expect 2018 WFE to grow in the mid-single digit percentage range compared with 2017,” Donzella said.

In a different forecast, SEMI projects equipment sales of $55.9 billion in 2017, up 35.6% over 2016. In 2018, the equipment market will reach $60.1 billion, up 7.5% over 2016, according to SEMI.


Fig. 2: Year-end equipment forecast. Source: SEMI

WFE demand looks solid across the three main growth drivers for fab tool vendors—DRAM, NAND and foundry/logic. “In particular, the memory market (both DRAM and 3D NAND) is experiencing very strong revenue growth and generating most of the expected WFE increase next year,” Donzella said.

The drivers for DRAM are smartphones and servers. Solid-state drives (SSDs) and smartphones are fueling the demand for NAND. And suppliers of FPGAs and processors are expected to jump on 10nm/7nm.

There are other drivers as well. “We’re at the beginning of an incredible compute transformation — adding machine learning and AI capabilities to a broad range of devices and services – from translation and voice recognition to the revolution in self-driving automobiles,” Applied’s Sherman said. “This inflection has the potential to transform our economy over the next few decades. Powering the changes will be new compute platforms and additions to many existing products, services and business models. This will further drive new data generation, compute and storage needs.”

So what could go wrong? “There are always high-level macroeconomic impacts that can affect spending on electronics, but there are some powerful trends right now that have us thinking more about stability and upside,” Sherman said.

Others agree. “The deep learning technology behind this will impact the semiconductor design and manufacturing world, just as it will affect every business in the next three to ten years. Accurate simulation is what will create the vast data needed to train a deep learning engine. Although real data from the factory floor, inspection and SEM images and the like will serve as some training data, it is with simulation-based processing where a large amount of varying data of all conditions can be generated automatically to serve as the learning platform,” said , chief executive at D2S.

Wafer, mask/litho trends
One way to get a pulse on the market is to look at the demand picture for two key building blocks in the sector—silicon wafers and photomasks.

For years, the silicon wafer market has been plagued with oversupply and depressed prices. But amid strong demand in 2017, the silicon wafer market is moving towards the state of equilibrium. Some vendors recently raised their prices.

In total, silicon wafer shipments are expected to reach 11,814 million square inches in 2018, up 3.2% over 2017, according to SEMI. That compares to 8.2% growth in 2017, according to SEMI.


Fig. 3: Wafer shipments forecast. Source: SEMI

In photomasks, meanwhile, the market has been flat. Mask volumes are still enormous, but there are fewer leading-edge photomasks being made at advanced nodes. And mask prices are constantly under pressure.

In total, the photomask market was $3.32 billion in terms of sales in 2016, up 2% from 2015, according to SEMI. The mask market is expected to see 4% and 3% growth in 2017 and 2018, respectively.

At advanced nodes, photomasks are becoming more complex and difficult to fabricate. There are several challenges, but the main issue is that it is taking longer to pattern a mask using today’s single-beam e-beam systems. So for complex masks, the industry is beginning to embrace a new class of multi-beam systems in the mask shop. These systems utilize thousands of tiny beamlets as a means to speed up the write times for complex masks.

IMS Nanofabrication, a subsidiary of Intel, has been shipping multi-beam mask writers in the market. Rival NuFlare is also shipping similar systems.

In 2018, multi-beam mask writers will see wider use in the mask shop. “Whether for complex ILT (inverse lithography technology) patterns for multiple patterning of 193i lithography, or for EUV masks, which will have 30nm sub-resolution assist features soon, multi-beam writing is needed on the mask side at the leading-edge,” D2S’ Fujimura said.

Mask making is tied to lithography. And in lithography, the big question is whether EUV lithography finally will move into production in 2018. Chipmakers want EUV for 7nm and/or 5nm. In theory, EUV could reduce the complexity and the number of steps at these nodes. But today, EUV is not ready. The insertion of EUV depends on the readiness of the EUV power source, resists and mask infrastructure.

Despite the challenges, Samsung hopes to insert EUV at the 7nm logic node in 2018. In comparison, other chipmakers will take a more conservative route and start with traditional 193nm immersion and multiple patterning at 10nm/7nm.

“For EUV, whether its insertion in production begins in the second half of 2018 as some companies are saying, or in 2019, it’s clear that the semiconductor industry is getting ready to use EUV in production soon,” D2S’ Fujimura said. “EUV initially will be deployed in places where multiple patterning with 193nm is already deployed for production. This will allow the ecosystem to make a smoother transition instead of requiring a sudden transition of everything at once.”

Chipmakers may insert EUV in one or even several layers in the near term, but actual high-volume manufacturing (HVM) is still a year or two away. “EUV lithography and its ecosystem will continue to develop in 2018 to 19, and we expect production volume to happen not earlier than 2020,” KLA-Tencor’s Donzella said.

EUV won’t dominate the entire patterning landscape, however. When it is inserted, EUV will primarily be used for cuts and vias in foundry and logic applications. That’s about 20% of the total patterning market—the rest is multi-patterning.

Moving to 10nm/7nm
Meanwhile, for equipment vendors, the leading-edge foundry/logic market has been relatively sluggish in recent times. At each node, chipmakers require an enormous amount of R&D and capital investment. And fewer foundry customers can afford to develop designs at each node.

In 2018, GlobalFoundries, Intel, Samsung and TSMC are expected to make the migration from 16nm/14nm finFETs to 10nm/7nm finFETs. Intel is ramping up 10nm, while the foundries are readying 7nm. In simple terms, Intel’s 10nm technology is equivalent to the 7nm node from the foundries.


Fig. 4: FinFET vs. planar. Source: Lam Research

Regardless, chipmakers face some challenges. Intel, for example, was supposed to move into volume production at 10nm in the second half of 2017. Instead, Intel’s ramp has slipped into the first half of 2018 due to challenges with the technology.

“Intel is a company that prides itself in getting their yields as high as possible,” said Abhinav Davuluri, an analyst with Morningstar, an investment banking firm, in a recent interview. “Based on what we’ve seen from their product roll outs and schedules, they are having some issues. They’ve had to push (10nm) out. Instead of getting products at the end of this year, it looks like that ramp is not going to be in full force till 2018.”

Time will tell if GlobalFoundries, Samsung and TSMC will struggle at 7nm. “It seems that all three foundries are making good progress in it,” according to Samuel Wang, an analyst with Gartner.

Still, the adoption rate of 10nm/7nm is expected to be gradual in 2018. In total, foundry revenue from 7nm is projected to range from $2.5 billion to $3.0 billion in 2018, Wang said. In comparison, foundry revenue from 10nm is projected to reach $5 billion in 2017, he said.

Over time, though, 10nm/7nm is expected to be a big and long-running node. “We think 10nm/7nm is going to be large and on par with the 28nm node, which is still growing,” Applied’s Sherman said. “5nm is going to be substantial, as well,”

Others agree. “7nm will be a big node,” said Rick Gottscho, executive vice president and chief technology officer at Lam Research. “The industry cadence seems to be that every other node is bigger. So 10nm was less of a big node. What’s really driving this is the AI/machine learning/deep learning revolution and the insatiable desire for data. Mobile is still important, but it’s almost ancillary to this trend.”

One shift here is that many of these devices will be custom, rather than general-purpose processors. “There is a big focus on custom design of chips tailored for specific markets,” Gottscho said. “General-purpose processors are too slow if you look at self-driving cars. A lot of the processing will be done at the edge of the network. 5G will be huge, too. You need to get data much faster. That will drive a lot of chip business.”

Memory lane
In 2017, memory has been the big driver for fab equipment. 2018 is expected to follow a similar pattern. “The tremendous demand for memory technology has produced record shipment levels,” Applied’s Sherman said. “DRAM and NAND content in smartphones continues to grow. The average NAND content in smartphones has been growing by roughly 50% recently, going from approximately 24 gigabytes in 2016 to approximately 38 gigabytes today. And with the recent announcement by a major memory supplier of availability of 512 gigabyte offerings for inclusion in future smartphones, we see plenty of upside.”

SSDs also are driving demand for NAND. “We’re seeing the healthiest memory business environment that we’ve ever seen with expected bit demand growth in the 40% to 50% range for NAND,” he said.

But NAND is expected to see a seasonal slowdown in the first quarter of 2018, causing oversupply and lower ASPs, according to TrendForce, a market research firm. It’s unclear how long the NAND glut will last, however.

In 2018, meanwhile, Intel, Micron, Samsung, SK Hynix, Toshiba and Western Digital will continue to ramp up 3D NAND. And so, equipment vendors expect another big spending cycle in 3D NAND.

 is taking off for good reason. Today’s planar NAND has reached its physical limit at the 1xnm node. So for some time, NAND vendors have been migrating from planar NAND to 3D NAND.

3D NAND is more difficult to fabricate than previously thought, however. Unlike planar NAND, which is a 2D structure, 3D NAND resembles a vertical skyscraper, in which horizontal layers are stacked and then connected using tiny vertical channels.


Fig. 5: NAND architecture. Source: Western Digital.


Fig. 6: 3D NAND architecture. Source: Western Digital

So the conversion from planar to 3D NAND is taking longer than expected. Today there is an installed capacity of 1.6 million wafer starts per month for total NAND, according to estimates from Applied Materials, which said only half of that capacity has been converted into 3D NAND.

Besides the conversion rate, there are questions about how far 3D NAND will scale. In 2017, 3D NAND suppliers have been migrating from 48 layer to 64 layer devices with 96 layer products in R&D. “We will see 96 layer devices (in 2018),” Lam’s Gottscho said. “The new generation will double the density every year.”

The development of a 96-layer NAND device is challenging, however. Today’s etch tools and hard masks could run out of steam for this technology. As a result, the industry is migrating towards a manufacturing technique called string stacking. For this, vendors will develop two 48-layer 3D NAND devices and connect them, thereby forming a 96-layer 3D device. “So we’ll have two-tier 3D NAND—48 plus 48 layers. That will be done out of necessity,” Gottscho said.

With string stacking, 3D NAND could scale up to 512 or more layers. String stacking, however, adds more manufacturing costs to the equation, presenting some new and difficult challenges for the industry.

China fab and 200mm frenzy
Meanwhile, in 2017, South Korea is projected to become the largest market in terms of fab equipment spending, surpassing Taiwan, according to SEMI. Taiwan will place second, while China will come in third, according to SEMI.

In 2018, Korea is projected to remain in first place. Next year, China is expected to move into second place, according to the trade group.

In total, there are some 15 new fab projects in China, according to SEMI, which includes both multinationals and domestic chipmakers. It’s unclear if all these fab projects will get off the ground, because the dynamics in China remain fluid. What is clear is the motivation behind this building frenzy—China is trying to reduce its huge trade imbalance in ICs. The country continues to import a large percentage of its chips from foreign vendors.

Still, fab tool vendors expect steady growth in China. KLA-Tencor already is seeing some significant orders in China. “KLA-Tencor is at the front of the investment because inspection and metrology tools are needed to qualify process equipment,” KLA-Tencor’s Donzella said. “We already have received early orders from local memory suppliers in China in 2017, and strong business should continue into 2018.”

Summarizing the situation, Applied’s Sherman said: “We expect wafer fab equipment investment in China being up in 2018 by about $2 billion compared to 2017.”

Meanwhile, over the last two years, the IC industry has experienced an acute shortage of 200mm fab capacity amid a surge of demand for certain chips. This, in turn, has driven the demand for 200mm equipment. The problem is that there is hardly any new or used 200mm equipment available. Where tools are available, prices are relatively high.

In 200mm, 2018 will resemble 2017. In 2017, 200mm fab utilization rates have been running at or near 100%. “We see the first part of 2018 as much the same,” said Emerald Greig, executive vice president for the Americas & Europe at SurplusGlobal, one of the world’s largest suppliers of secondary equipment. “Utilization for 200mm fabs will continue to be above 90%.”

The industry, however, faces a shortage of 200mm equipment in 2018. Today, for example, the industry requires around 2,000 new or refurbished 200mm tools to meet fab demand.

The problem? There are only 500 available 200mm tools on the market and many don’t meet the requirements in today’s fabs, according to Greig. “There will continue to be a shortage of 200mm equipment,” she said. “Spare parts for many of these systems also continue to be an issue.”

On the bright side, the used/refurbished equipment business is expected to grow 10% to 15% in 2017, according to SurplusGlobal. “We expect the secondary equipment market to continue to grow in the double digits in 2018,” she added.

—Ed Sperling contributed to this report.

Related Stories
Next-Gen Mask Writer Race Begins
Looming Issues And Tradeoffs For EUV
The Race To 10/7nm
NAND Market Hits Speed Bump
The 200mm Equipment Scramble
China: Fab Boom or Bust?



2 comments

MD says:

Excellent article.

Memory Boosts Lam Research's Fourth-Quarter Results | 1 Business says:

[…] conservative, and its forecasts certainly align with others’. VLSI Research also expects much slower growth  in the WFE industry in […]

Leave a Reply


(Note: This name will be displayed publicly)