Knowledge Center ➜ Entities

Flexras Technologies SAS

Multi-FPGA partitioning, design, and synthesis tools
popularity

Description

Timing-driven partitioning technology that reduced time required for prototyping, validation, and debug of integrated circuits (ICs) and systems on chip (SoCs).
The company was established to build upon the knowledge from ten years of research at the University of Pierre et Marie Curie and LIP6 Lab.