Knowledge Center ➜ Entities

Eliyan

PHY for die-to-die interconnect.
popularity

Description

Eliyan develops chiplet interconnect technologies for multi-die architectures using either advanced packaging or standard organic substrates.

Eliyan’s NuLink technology is a die-to-die PHY that connects different functions in one package. It is capable of simultaneous bidirectional signaling, enabling designs to receive data while simultaneously transmitting data on the same wire at the same time. As a protocol-agnostic building block, it supports Bunch of Wires (BoW), Universal Chiplet Interconnect Express (UCIe), and other die-to-die interconnect structures.

In addition to die-to-die, the company offers die-to-memory interconnect with bidirectional transceivers for every data lane. Eliyan has proposed a Universal Memory Interface that would enable a single ASIC SKU to be configured to connect to different memory chiplets and to non-memory dies such as co-packaged optics and SerDes chiplets.

  • Founded: 2021
  • Founders: Ramin Farjadrad, Patrick Soheili, Syrus Ziai
  • Headquarters: Santa Clara, California, USA
  • Website: https://eliyan.com/
  • Type: Company

Tags