Author's Latest Posts


Cadence Janus NoC System IP


The Cadence Janus Network on Chip (NoC) is a new highly configurable soft IP designed to speed up the system-on-chip (SoC) and full system design cycle by reducing some of the problems associated with large SoCs. With many more processing nodes, as well as memory and I/O nodes designed into the SoC, the interconnect becomes a major design hurdle. Wiring congestion and wire loads introduce ch... » read more

How Does Reclaiming Data Center Lost Capacity Result in Return on Investment?


As the importance of data center performance, efficiency, and sustainability grows, owners and operators must move beyond “quick fixes” when managing their data center and IT deployments. These temporary solutions are neither effective nor sustainable. Long-term solutions and effective management over time are required to achieve meaningful efficiency gains. Capacity planning is one such di... » read more

Advancing the Future of Hearing Aids


Hearing, one of the fundamental senses, profoundly affects our ability to communicate, engage with our environment, and maintain connections with others. Despite the long-standing presence of hearing aids in the market, accessibility to essential hearing aids has historically lagged, leaving many individuals isolated due to untreated hearing. According to the World Health Organization (WHO), ov... » read more

Steady and Unsteady Full-Engine Simulations


Discover the power of fully coupled steady and unsteady full-engine simulations. Say goodbye to traditional component-by-component methods. This innovative approach seamlessly integrates all engine components into a single, cohesive simulation framework, offering unparalleled accuracy and efficiency for aero-engine simulations. Key Takeaways: Steady and Time-Accurate: Achieve superio... » read more

Three Ways To Speed Up Timing Closure Of High-Speed PCB Interfaces


On advanced high-speed interfaces, timing closure can be an iterative process that can be time-consuming and frustrating. PCB designers need techniques and tools to make the process more efficient, so they can contribute to an overall faster time to market for the design. This article discusses three ways that the new Cadence Allegro TimingVision environment speeds up timing closure of high-spe... » read more

CMOS Noise Margin Values


One of the most important parameters describing digital systems operating at high speed is noise margin. In a general sense, noise margins define an acceptable level of noise that can be present on an I/O pin or in an interface. In terms of digital electronics, noise margin characterizes the level of noise that can appear on an I/O pin without creating an error in a received logic state. This i... » read more

Bridging the Gap Between Industry and Academia


The purpose of the Cadence Academic Network is to promote the proliferation of leading-edge technologies and methodologies at universities renowned for their engineering and design excellence in the areas of verification, design, and implementation of microelectronic systems. Taking a four-pronged approach of recruiting, promoting the Cadence university software program, establishing academic p... » read more

Quantum Well Design Basics


Key Takeaways The choice of materials for the quantum well and barrier layers is paramount. Materials must have compatible lattice structures to minimize defects, with common combinations including GaAs/AlGaAs, InGaAs/InP, and GaN/AlGaN. The width of the quantum well significantly influences the energy levels and density of states, where narrower wells result in greater separation betwe... » read more

What’s At Stake In System Design?


When engineers refer to system analysis, they are referring to tool functions for improving an overall electronics design. What you will gain from this eBook: Power and Signal Integrity Insights into harmonic balancing and crosstalk analysis Learning about loop gain and transmission rates Examining the necessity of power-aware systems Electromagnetic Analysis Knowledge ... » read more

Cadence Cerebrus In SaaS And Imagination Technologies Case Study


Artificial Intelligence (AI) has made noteworthy progress and is now ready and available for electronic design automation. The Cadence Cerebrus Intelligent Chip Explorer utilizes AI—specifically, reinforcement machine learning (ML) technology—combined with the industry-leading Cadence digital full flow to deliver better power, performance, and area (PPA) more quickly. However, this highl... » read more

← Older posts