Author's Latest Posts


Accuracy In Optical Overlay Metrology


By Barak Bringoltz, Tal Marciano, Tal Yaziv, Yaron DeLeeuw, Dana Klein, Yoel Feler, Ido Adam, Evgeni Gurevich, Noga Sella, Ze’ev Lindenfeld, Tom Leviant, Lilach Saltoun, Eltsafon Ashwal, Dror Alumot and Yuval Lamhot, Xindong Gao, James Manka, Bryan Chen, and Mark Wagner. Abstract In this paper we discuss the mechanism by which process variations determine the overlay accuracy of optical m... » read more

Highly Sensitive Focus Monitoring Technique Based On Illumination And Target Co-Optimization


By Myungjun Lee, Mark D. Smith, Pradeep Subrahmanyan, and Ady Levy. Abstract We present a cost-effective focus monitoring technique based on the illumination and the target co-optimization. An advanced immersion scanner can provide the freeform illumination that enables the use of any kind of custom source shape by using a programmable array of thousands of individually adjustable micro-mi... » read more

Application Of Overlay Modeling And Control With Zernike Polynomials In An HVM Environment


By JawWuk Ju, MinGyu Kim and JuHan Lee of SK Hynix; Jeremy Nabeth, John C. Robinson and Bill Pierson of KLA-Tencor; and Sanghuck Jeon and Hoyoung Heo of KLA-Tencor Korea. Abstract Shrinking technology nodes and smaller process margins require improved photolithography overlay control. Generally, overlay measurement results are modeled with Cartesian polynomial functions for both intra-field... » read more

Device Overlay Method For High-Volume Manufacturing


By Honggoo Lee, Sangjun Hana and Youngsik Kima of SK Hynix; Myoungsoo Kim, of the Department of Semiconductor System Engineering at Korea University; Hoyoung Heo, Sanghuck Jeon and DongSub Choi, KLA-Tencor Korea; and Jeremy Nabeth, Irina Brinster, Bill Pierson, and John C. Robinson of KLA-Tencor. Abstract Advancing technology nodes with smaller process margins require improved photolithogra... » read more

Optical Metrology Solutions For 10nm Films Process Control Challenges


By Sridhar Mahendrakar (a), Alok Vaida (a), Kartik Venkataraman (b), Michael Lenahan (a), Steven Seipp (a), Fang Fanga (a), Shweta Saxena (a), Dawei Hu (b), Nam Hee Yoon (b), Da Song (b), Janay Camp (b), Zhou Ren (b). [a: GlobalFoundries; b:KLA-Tencor] Controlling thickness and composition of gate stack layers in logic and memory devices is critical to ensure transistor performance meets r... » read more

The Most Expensive Defect


Defect inspection tools can be expensive. But regardless of the cost of the inspection tool needed to find a defect, the fab is almost always better off financially if it can find and fix that defect inline versus at the end of line (e.g., electrical test and failure analysis). Here, we are referring to the term defect in a general sense—the same concepts also apply to metrology measurement... » read more

In-Die Registration Measurement Using Novel Model-Based Approach For Advanced Technology Masks


In recent years, 193nm immersion lithography has been extended instead of adopting EUV lithography. And multi-patterning technology is now widely applied, which requires tighter specification as the pattern size gets smaller on advanced semiconductor devices. Regarding the mask registration metrology, it is necessary to consider some difficult challenges like tight repeatability and complex In-... » read more

Addressing Thin Film Thickness Metrology Challenges Of 14nm BEOL Layers


This paper describes a method to effectively monitor the film stack at different metal CMP process steps using a spectroscopic ellipsometer metrology tool. By proper modeling of the Cu dispersion and simulating the underlayer film information underneath the Cu pad, a single measurement recipe was developed which can be used to monitor each process step in the metal CMP process with stable and r... » read more

Newer posts →