Multi-Beam Writers Are Driving EUV Mask Development

New approaches in building fabs and patterning masks.

popularity

By Jan Hendrik Peters (bmbg consult) and Ines Stolberg (Vistec Electron Beam)

The European Mask and Lithography Conference (EMLC) 2023, held in Dresden this past June, was attended by about 180 people and over 60 talks and posters were presented. With several keynote and invited talks over two and a half days, the conference gave an overview of the semiconductor and technology landscape in Europe including the newly established or planned new fabs. Intel, Bosch and Infineon presented their activities in setting up their fabs in Ireland and Germany. During EMLC, it became clear that one of the driving factors for the development of the EUV mask infrastructure is the emergence of multi-beam mask writers.

Intel’s Fab 34 will be heading for the Intel 4 node, requiring the use of high-volume EUV production. Joe English of Intel talked about how photomask technology is a key enabler of EUV in high-volume manufacturing. He showed that switching over to EUV requires a new approach to factory management with many aspects related to the reticles. EUV drives up the reticle cost per product roughly by a factor of four compared to the latest nodes in production. Intel will be using machine learning to support the routing in the fab.

Christian Koitzsch from Bosch Dresden gave an account of their new 300mm fab “from Greenfield to High Yield.” This fab is focusing on diverse technology demands around ASIC and high-power applications. The fab was built and finished during the COVID pandemic using many virtual technologies. The goal of having the most automated fab is supported by a building information modelling system. The building is laser-scanned every four weeks to keep the virtual information in sync with the real fab. Gamification is used for training the staff. The MES system of the new fab was set up with a dry run in one of the older fabs before being transferred to Dresden. The tool hook-up was conducted virtually. With all these technologies in place, the fab can be run over the weekend with only 15 people on shift.

The newest fab development in Dresden was reported by Dominik Thron from Infineon. The fab is planned to be in operation by Autumn 2026 for thin-wafer, high-power devices. It will also host a new development center.

In the mask patterning session, Sang-Hee Lee from Samsung gave an overview about the history of e-beam mask writing and innovations for EUV mask patterning. Currently, EUV mask write time is between 14 and 18 hours. Their major concern for high-NA masks are the stitching errors for the half-field mask.

Shingo Yoshikawa from DNP reported on state-of-the-art EUV mask process development by combining multi-beam mask writing (MBMW) and ultra-high-resolution chemically amplified resist (CAR). DNP has been using MBMW since 2017. With their ultra-high resolution CAR on Hoya EUV blanks, they showed an improved performance and line edge roughness (LER) down to 50nm lines/spaces and 60nm contact hole structures. Going forward using low-n materials, they expect to see an equivalent performance down to 32nm. EUV high-NA mask processes still need improvement for assist features, but with the next generation of UHR resists they can get the required performance on lines/spaces and isolated lines.

Issei Aibara from NuFlare Technology showed the latest developments of their multi-beam systems. The MBM-2000 was released in 2021 and targets the N3 node, while the MBM-2000PLUS arrived one year later for nodes beyond N3. The next-generation MBM 3000 with 500K beams will have a 10-hour write time and has a 12nm beam size.

Mathias Tomandl from IMS Nanofabrication gave an overview of their tool plans. Currently 50 multi-beam tools are in the field. They have extended their portfolio with MBMW-100 flex for more mature nodes and are developing tools for future nodes. The MBMW-301 for the 3nm node is currently under test with industry partners. The MBMW-401 will be available in 2025.

Besides the latest developments in EUV lithography and its related technologies, the usage of nanoimprint lithography (NIL) including template manufacturing was part of the technical program. Growing demand for NIL is mostly driven by applications in AR/VR and photonics, which also require new and efficient solutions for template patterning.

Ines Stolberg is manager for marketing & sales at Vistec Electron Beam.



1 comments

Mathias Tomandl says:

Thanks for the nice summary!
ÎMS MBMW-201 is used for 3nm node all around the world. IMS MBMW-301 is designed for 2nm and beyond.

Leave a Reply


(Note: This name will be displayed publicly)