Author's Latest Posts


The Right Project Is Key For Photomask Adoption Of Deep Learning


Deep learning (DL) has become an integral part of the success of many companies. There have been many papers and some reported successes in semiconductor manufacturing, yet only 22% of the luminaries participating in the 2021 eBeam Initiative Luminaries survey see DL as a competitive advantage for photomask making by next year, as shown in figure 1. Looking at that chart, the luminaries believe... » read more

Luminaries See Growth Opportunities For Photomask Writers


Multi-beam mask writers (MBMWs) are the new kid on the block of the photomask writers, so growth predictions aren’t surprising. In fact, 90% of the industry luminaries surveyed by the eBeam Initiative think that new MBMW purchases will increase over the next three years, as shown in figure 1. Looking at that chart, industry luminaries predict sales of new photomask writers to increase across ... » read more

Perspectives On Why EUV Photomasks Are More Expensive


There are fewer photomasks per wafer using EUV lithography, but each EUV photomask is more expensive. Given that, it’s not a surprise that a majority (74%) of industry luminaries surveyed in July say that EUV photomasks will contribute to an increase in photomask revenues for 2021 as shown in figure 1. In a 20-minute video, a panel of experts share their perspectives on what drives EUV photom... » read more

Semiconductor Photomask Revenues Predicted To Increase In 2021


A majority (72%) of industry luminaries surveyed in July predict an increase in photomask revenues for 2021, as shown in figure 1. SEMI also predicts revenues to increase around 9% from $4.4B in 2020 to $4.8B in 2021. In a 12-minute video, a panel of experts share their perspectives on what’s behind the growth trend, how the pandemic has impacted the photomask industry, and how it compares to... » read more

Curvilinear Design Benefits For Wafers


Throughout this blog series the focus has been on curvilinear photomasks – the benefits, enablers, and challenges. It leads to the obvious question that Aki Fujimura, CEO of D2S, put to the panel of luminaries. If leading-edge mask shops are ready for curvilinear shapes on mask enabled by curvilinear ILT, multi-beam mask writers and the mask design chain, can we have curvilinear target shapes... » read more

Optimizing VSB Shot Count For Curvilinear Masks


The increased photomask write time using a variable-shape e-beam (VSB) writer has been a barrier to the adoption of inverse lithography technology (ILT) beyond the limited usage for hot spots. The second installment of this video blog looked at the challenge in depth. In this five-minute panel video with industry luminaries, Ezequiel Russell describes the collaborative study between his company... » read more

What About Mask Rule Checking For Curvilinear Photomasks?


The entire photomask design chain needs to be considered in the adoption of curvilinear photomasks. A broad look at the ecosystem impact was addressed in a previous video but a more in-depth look at the design chain of photomasks raises the next question – will MRC be harder and take more time? Aki Fujimura of D2S opens the nine-minute panel video with industry luminaries by providing a conce... » read more

Developing A New Curvilinear Data Format


The data size generated by curvilinear masks could impact turnaround time (TAT) for photomask production and hence the adoption of curvilinear masks. In a previous blog on curvilinear masks, our panel of luminaries discuss some possible solutions in a video discussion. In this seventh video, the panel looks at some ideas to define a new curvilinear data format to reduce file sizes. Aki Fujimura... » read more

How Will The Adoption Of Curvilinear Masks Affect Turnaround Time?


Turnaround time (TAT) for photomask makers has historically increased at smaller and smaller process nodes, as reported in the eBeam Initiative Mask Makers surveys, so it’s important to look at the impact of curvilinear masks on TAT. In this sixth installment of our blog series on curvilinear masks, Aki Fujimura of D2S explores this question with luminaries in the industry during a video pane... » read more

Is The Photomask Ecosystem Ready For Curvilinear ILT?


The time it takes to write a photomask with curvilinear shapes was a major historical barrier to adoption inverse lithography technology (ILT), as discussed in the second installment of our blog series on curvilinear mask shapes. After years of development, multi-beam mask writers came into production and one of their features is the ability to write curvilinear masks without a write time penal... » read more

← Older posts Newer posts →