Curvilinear Design Benefits For Wafers

What design layout target shapes will produce the best performance on wafer with the smallest footprint?

popularity

Throughout this blog series the focus has been on curvilinear photomasks – the benefits, enablers, and challenges. It leads to the obvious question that Aki Fujimura, CEO of D2S, put to the panel of luminaries. If leading-edge mask shops are ready for curvilinear shapes on mask enabled by curvilinear ILT, multi-beam mask writers and the mask design chain, can we have curvilinear target shapes on wafers? Aki calls this “curvy design” and pointed to the study presented in 2019 by imec shown in the left of figure 1 in which they concluded that there are potential benefits of targeting curvilinear shapes on wafer. In this short eight-minute panel video, Ezequiel Russell from Micron and Danping Peng from TSMC share their perspectives on the benefits of targeting curvilinear shapes on wafer.


Fig. 1: A study by imec and a real-life example by Micron of targeting curvilinear shapes on wafer.

Ezequiel Russell views the opportunity to target curvilinear shapes on wafer as an extension of design-for-manufacturing (DFM). Given process constraints, he addresses the question of what design layout target shapes will produce the best performance on wafer with the smallest footprint. He went on to explain the graphic in the right side of figure 1. What you see is a routing layer of a Micron memory product with curvilinear jogs in metal routes. He goes on to discuss Micron’s experience further in the video. From Ezequiel’s perspective, the purpose of curvilinear designs is to find the balance between maximizing density and optimizing the process window. He concludes by saying that having the ability to design in the curvilinear space benefits Micron’s work on optical proximity correction (OPC) and results in improved wafer process performance as far as process window and sensitivity to variation. That’s why curvilinear wafer shapes are deployed in many of Micron’s designs.

Several panelists noted that nature doesn’t have Manhattan shapes; they were invented to simplify the job. Danping Peng cited how curvilinear designs appear naturally in photonics although the feature size is large and state-of-the-art tools can handle it. He can see the benefits of curvilinear design in squeezing more performance out of the circuit and asks why we don’t do what nature allows.

With curvilinear mask adoption a reality and the benefits of curvilinear design in evidence, there will be much more to say on these topics in the next few years. Stay tuned! You can watch the full 90-minute panel discussion during the eBeam Initiative’s virtual event at SPIE Advanced Lithography here.



Leave a Reply


(Note: This name will be displayed publicly)