The Benefits Of Curvilinear Full-Chip Inverse Lithography Technology With Mask-Wafer Co-Optimization 


A technical paper titled “Make the impossible possible: use variable-shaped beam mask writers and curvilinear full-chip inverse lithography technology for 193i contacts/vias with mask-wafer co-optimization” was published by researchers at D2S and Micron. Abstract: "Full-chip curvilinear inverse lithography technology (ILT) requires mask writers to write full reticle curvilinear mask patte... » read more

Curvilinear Photomasks Can Be Made Today


Multi-beam mask writers (MBMWs) and GPU-accelerated curvilinear ILT are enabling curvilinear photomasks to be made today. Despite the benefits of improved process windows, curvilinear photomask adoption is slow. Industry luminaries surveyed by the eBeam Initiative in 2021 ranked photomask inspection and infrastructure as the top barriers to adoption, as shown in figure 1. Yet only 4% say the b... » read more

Unsolved Issues In Next-Gen Photomasks


Experts at the Table: Semiconductor Engineering sat down to discuss optical and EUV photomasks issues, as well as the challenges facing the mask business, with Naoya Hayashi, research fellow at DNP; Peter Buck, director of MPC & mask defect management at Siemens Digital Industries Software; Bryan Kasprowicz, senior director of technical strategy at Hoya; and Aki Fujimura, CEO of D2S. What f... » read more

Curvilinear Design Benefits For Wafers


Throughout this blog series the focus has been on curvilinear photomasks – the benefits, enablers, and challenges. It leads to the obvious question that Aki Fujimura, CEO of D2S, put to the panel of luminaries. If leading-edge mask shops are ready for curvilinear shapes on mask enabled by curvilinear ILT, multi-beam mask writers and the mask design chain, can we have curvilinear target shapes... » read more

How Will The Adoption Of Curvilinear Masks Affect Turnaround Time?


Turnaround time (TAT) for photomask makers has historically increased at smaller and smaller process nodes, as reported in the eBeam Initiative Mask Makers surveys, so it’s important to look at the impact of curvilinear masks on TAT. In this sixth installment of our blog series on curvilinear masks, Aki Fujimura of D2S explores this question with luminaries in the industry during a video pane... » read more

What’s Next In Fab Tool Technologies?


Experts at the Table: Semiconductor Engineering sat down to discuss extreme ultraviolet (EUV) lithography and other next-generation fab technologies with Jerry Chen, head of global business development for manufacturing & industrials at Nvidia; David Fried, vice president of computational products at Lam Research; Mark Shirey, vice president of marketing and applications at KLA; and Aki Fuj... » read more

Bending The Rules With Curvilinear Technology


What have been the historical hurdles for curvilinear ILT? Danping Peng, director at TSMC, reflected on his early involvement in the development of ILT and the three major hurdles encountered while at Luminescent during a panel discussion with industry experts at the eBeam Initiative’s annual event during the 2021 SPIE Advanced Lithography Conference. Among the topics covered, the panel di... » read more

Enabling Curvilinear Masks


This talk by Leo Pang, Chief Product Officer of D2S, takes a look at a unique GPU-accelerated approach to curvilinear inverse lithography technology (ILT) and introduces mask-wafer co-optimization (MWCO) that enables writing curvilinear ILT for 193i on VSB or multi-beam machines in 12 hours. » read more