Author's Latest Posts


Deep Learning (DL) Applications In Photomask To Wafer Semiconductor Manufacturing


How Advantest Corporation, ASML, Fraunhofer, imec, Siemens EDA and others are using deep learning in semiconductor manufacturing. Click here to read more. » read more

Make The Impossible Possible: Use Variable-Shaped Beam Mask Writers And Curvilinear Full-Chip Inverse Lithography Technology For 193i Contacts/Vias With Mask-Wafer Co-Optimization


Abstract: "Full-chip curvilinear inverse lithography technology (ILT) requires mask writers to write full reticle curvilinear mask patterns in a reasonable write time. We jointly study and present the benefits of a full-chip, curvilinear, stitchless ILT with mask-wafer co-optimization (MWCO) for variable-shaped beam (VSB) mask writers and validate its benefits on mask and wafer at Micron Tec... » read more

Survey: 2023 eBeam Initiative Luminaries Survey Results


Luminaries are confident in high-NA EUV and curvilinear masks 12th Annual Luminaries Survey — July 2023 • Luminaries remain confident in broad High-NA EUV adoption by 2028 • Confidence doubled in leading-edge mask shops handling curvilinear mask demand • Curvilinear masks aren’t just for EUV • Luminaries are more confident about 2023 mask revenues than SEMI Click here to rea... » read more

Deep Learning (DL) Applications In Photomask To Wafer Semiconductor Manufacturing


Published by the eBeam Initiative Member Companies (February 2023), this list of artificial intelligence (AI) systems used by member companies in their semiconductor manufacturing products shows progress. New examples of systems using AI include: image processing and parameter tuning in lithography tool mask metrology system B-SPline Control Point generation tool sem... » read more

2022 Survey: Luminaries Report Positive EUV Impact On Mask Trends


The eBeam Initiatives 11th Annual Luminaries Survey from July 2022 shows • EUV viewed as a positive impact for mask revenue • EUV remains the top reason for purchasing multi-beam mask writers • Confidence remains high in ability to make curvilinear masks with availability of multi-beam mask writers less of an issue this year Click here to read the survey results. » read more

Survey: 2022 Deep Learning Applications


The 2022 member list of deep learning projects and products that eBeam members are working on in photomask to wafer semiconductor manufacturing. Participating companies include Advantest, ASML, Canon, CEA-LETI, D2S, Fraunhofer IPMS, Hitachi High-Tech Corporation, imec, NuFlare Technology, Siemens Industries Software, Inc.; Siemens EDA, STMicroelectronics, and TASMIT. Click here to see the su... » read more

Survey: 2020 eBeam Initiative Annual Survey Results


Aki Fujimura, the CEO of D2S, Inc. presented "The eBeam Initiative's Annual Survey Results at Photomask" at Photomask Japan 2021 in April 2021. Survey says that COVID has a net neutral business impact on total mask revenues. By 2021, 24% positive vs 20% negative COVID-related business predictions. 74% agree actinic inspection for EUV HVM by 2023, and more results. Click here to read more. » read more

Deep Learning (DL) Applications In Photomask To Wafer Semiconductor Manufacturing


The Survey: 2021 Deep Learning Applications List by eBeam Initiative members is a list of current deep learning efforts that are being used in photomask to wafer semiconductor manufacturing. Examples come from ASML, D2S, Fraunhofer IPMS, Hitachi High-Tech Corporation, imec, Siemens Industries Software, Inc., Siemens EDA, STMicroelectronics, and TASMIT. Published by the eBeam Initiative Membe... » read more

Survey: eBeam Initiative Luminaries (formerly Perceptions) Survey Results


Survey of 77 industry luminaries across 42 different companies in July 2020 says net neutral COVID-19 business impact by 2021, with 24% positive vs 20% negative predictions. Click here to view the survey results. » read more

2019-2020 Mask Maker Survey Results


The survey results of the 2019-2020 Mask Maker Survey from the eBeam Initiative. • Multi-Beam and EUV Trends Becoming Visible • 558,834 masks reported by 10 different companies than last year • Masks written with Multi-Beam Mask Writers more than doubled • EUV mask yield reported at 91% • MPC usage increasing at leading edge nodes Click here to see the presentation. » read more

← Older posts