Photomask Market to Hit $3.35 billion in 2013

The merchant photomask industry must balance a shrinking merchant market with escalating development and capital costs.

popularity

by Lara Chamness, senior market analyst, SEMI Industry Research and Statistics

The worldwide semiconductor photomask market was $3.12 billion in 2011 and is forecasted to reach $3.35 billion in 2013. After reaching a market peak in 2010, the photomask market grew another 3 percent in 2011 to set another market high. The mask market is expected to grow 4 percent and 3 percent sequentially over the next two years. Key drivers in this market continue to be advanced technology feature sizes (less than 65 nm) and increased manufacturing in Asia-Pacific. Taiwan became the largest photomask regional market, surpassing Japan in 2010, and is expected to remain the largest market for the duration of the forecast.

The mask making market is becoming increasingly capital intensive; according to data from SEMI, 2011 was a record year for Mask/Reticle making equipment, growing 36 percent year-over-year from the previous record year of 2010 to reach $1.11 billion. As the capital intensiveness of the photomask industry increases, captive mask shops are increasing their market share of the total mask market; captive mask shops have grown their market presence in recent years as they now represent 40 percent of the market, up from 30 percent in 2006.

Figure 1: 2011 Regional Photomask Market

 

Source: Photomask Characterization Summary Report – March 2012

Source: Photomask Characterization Summary Report – March 2012

Source mask optimization is being used to extend single-exposure processes for sub 45-nm processing, while double patterning is being deployed in other instances as well. To extend optical lithography to 22nm feature sizes, device manufacturers are utilizing computational lithography, in addition to double-patterning and source mask optimization. Directed self-assembly has recently gained a lot of attention as a possible way to extend optical lithography to 10nm features.

Although modest improvements have been made in EUV sources and resists, EUV has been pushed out to at least 14nm, with several industry observers expecting it to be in high volume at 10nm. However, concerns about the technology’s readiness remain; several device manufacturers have parallel lithography roadmaps for 14nm. When and if EUV will be adopted as a standalone technology will be determined by cost and the readiness of a new supply chain for this revolutionary technology.

This raises perhaps the most daunting challenge faced by merchant photomask suppliers: economic uncertainty outweighing technical issues. As feature sizes shrink, more advanced photomask tools and materials are required, but due to limited customers migrating to smaller geometries and the increasing reliance on captive shops, the merchant photomask industry must balance a shrinking merchant market with escalating development and capital costs.

A recently published SEMI report, Photomask Characterization Summary, provides details on the 2011 Photomask Market for seven regions of the world including North America, Japan, Europe, Taiwan, Korea, China, and Rest of World. The report also includes data for each of these regions from 2006 to 2013.

To find out additional information on this new report, please click here for additional information including a list of tables and figures.  For more information on other SEMI industry market research and reports, please visit www.semi.org/en/MarketInfo


Tags:

Leave a Reply


(Note: This name will be displayed publicly)